BibTeX records: Hyesoon Kim

download as .bib file

@inproceedings{DBLP:conf/cc/HanK24,
  author       = {Ruobing Han and
                  Hyesoon Kim},
  editor       = {Gabriel Rodr{\'{\i}}guez and
                  P. Sadayappan and
                  Aravind Sukumaran{-}Rajam},
  title        = {Exponentially Expanding the Phase-Ordering Search Space via Dormant
                  Information},
  booktitle    = {Proceedings of the 33rd {ACM} {SIGPLAN} International Conference on
                  Compiler Construction, {CC} 2024, Edinburgh, United Kingdom, March
                  2-3, 2024},
  pages        = {250--261},
  publisher    = {{ACM}},
  year         = {2024},
  url          = {https://doi.org/10.1145/3640537.3641582},
  doi          = {10.1145/3640537.3641582},
  timestamp    = {Mon, 01 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cc/HanK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cgo/HanZK24,
  author       = {Ruobing Han and
                  Jisheng Zhao and
                  Hyesoon Kim},
  editor       = {Tobias Grosser and
                  Christophe Dubach and
                  Michel Steuwer and
                  Jingling Xue and
                  Guilherme Ottoni and
                  ernando Magno Quint{\~{a}}o Pereira},
  title        = {Enabling Fine-Grained Incremental Builds by Making Compiler Stateful},
  booktitle    = {{IEEE/ACM} International Symposium on Code Generation and Optimization,
                  {CGO} 2024, Edinburgh, United Kingdom, March 2-6, 2024},
  pages        = {221--232},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/CGO57630.2024.10444865},
  doi          = {10.1109/CGO57630.2024.10444865},
  timestamp    = {Mon, 11 Mar 2024 13:45:28 +0100},
  biburl       = {https://dblp.org/rec/conf/cgo/HanZK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2403-14902,
  author       = {Gaurav Tarlok Kakkar and
                  Jiashen Cao and
                  Aubhro Sengupta and
                  Joy Arulraj and
                  Hyesoon Kim},
  title        = {Hydro: Adaptive Query Processing of {ML} Queries},
  journal      = {CoRR},
  volume       = {abs/2403.14902},
  year         = {2024},
  url          = {https://doi.org/10.48550/arXiv.2403.14902},
  doi          = {10.48550/ARXIV.2403.14902},
  eprinttype    = {arXiv},
  eprint       = {2403.14902},
  timestamp    = {Tue, 09 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2403-14902.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/KarLKSKK23,
  author       = {Anurag Kar and
                  Xueyang Liu and
                  Yonghae Kim and
                  Gururaj Saileshwar and
                  Hyesoon Kim and
                  Tushar Krishna},
  title        = {Mitigating Timing-Based NoC Side-Channel Attacks With {LLC} Remapping},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {22},
  number       = {1},
  pages        = {53--56},
  year         = {2023},
  url          = {https://doi.org/10.1109/LCA.2023.3276709},
  doi          = {10.1109/LCA.2023.3276709},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cal/KarLKSKK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/KimKLLK23,
  author       = {Yonghae Kim and
                  Anurag Kar and
                  Jaewon Lee and
                  Jaekyu Lee and
                  Hyesoon Kim},
  title        = {Hardware-Assisted Code-Pointer Tagging for Forward-Edge Control-Flow
                  Integrity},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {22},
  number       = {2},
  pages        = {117--120},
  year         = {2023},
  url          = {https://doi.org/10.1109/LCA.2023.3306326},
  doi          = {10.1109/LCA.2023.3306326},
  timestamp    = {Fri, 27 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cal/KimKLLK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/pvldb/CaoSIAK23,
  author       = {Jiashen Cao and
                  Rathijit Sen and
                  Matteo Interlandi and
                  Joy Arulraj and
                  Hyesoon Kim},
  title        = {{GPU} Database Systems Characterization and Optimization},
  journal      = {Proc. {VLDB} Endow.},
  volume       = {17},
  number       = {3},
  pages        = {441--454},
  year         = {2023},
  url          = {https://www.vldb.org/pvldb/vol17/p441-cao.pdf},
  timestamp    = {Tue, 26 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/pvldb/CaoSIAK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asplos/TineSSSACK23,
  author       = {Blaise Tine and
                  Varun Saxena and
                  Santosh Srivatsan and
                  Joshua R. Simpson and
                  Fadi Alzammar and
                  Liam Cooper and
                  Hyesoon Kim},
  editor       = {Tor M. Aamodt and
                  Natalie D. Enright Jerger and
                  Michael M. Swift},
  title        = {Skybox: Open-Source Graphic Rendering on Programmable {RISC-V} GPUs},
  booktitle    = {Proceedings of the 28th {ACM} International Conference on Architectural
                  Support for Programming Languages and Operating Systems, Volume 3,
                  {ASPLOS} 2023, Vancouver, BC, Canada, March 25-29, 2023},
  pages        = {616--630},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3582016.3582024},
  doi          = {10.1145/3582016.3582024},
  timestamp    = {Tue, 21 Mar 2023 11:08:23 +0100},
  biburl       = {https://dblp.org/rec/conf/asplos/TineSSSACK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/edge/RamchandaniAK23,
  author       = {Dheeraj Ramchandani and
                  Bahar Asgari and
                  Hyesoon Kim},
  editor       = {Claudio A. Ardagna and
                  Feras M. Awaysheh and
                  Hongyi Bian and
                  Carl K. Chang and
                  Rong N. Chang and
                  Fl{\'{a}}via Coimbra Delicato and
                  Nirmit Desai and
                  Jing Fan and
                  Geoffrey C. Fox and
                  Andrzej Goscinski and
                  Zhi Jin and
                  Anna Kobusinska and
                  Omer F. Rana},
  title        = {Spica: Exploring {FPGA} Optimizations to Enable an Efficient SpMV
                  Implementation for Computations at Edge},
  booktitle    = {{IEEE} International Conference on Edge Computing and Communications,
                  {EDGE} 2023, Chicago, IL, USA, July 2-8, 2023},
  pages        = {36--42},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/EDGE60047.2023.00018},
  doi          = {10.1109/EDGE60047.2023.00018},
  timestamp    = {Mon, 11 Sep 2023 15:19:00 +0200},
  biburl       = {https://dblp.org/rec/conf/edge/RamchandaniAK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/edge/HadidiCAK23,
  author       = {Ramyad Hadidi and
                  Jiashen Cao and
                  Bahar Asgari and
                  Hyesoon Kim},
  editor       = {Claudio A. Ardagna and
                  Feras M. Awaysheh and
                  Hongyi Bian and
                  Carl K. Chang and
                  Rong N. Chang and
                  Fl{\'{a}}via Coimbra Delicato and
                  Nirmit Desai and
                  Jing Fan and
                  Geoffrey C. Fox and
                  Andrzej Goscinski and
                  Zhi Jin and
                  Anna Kobusinska and
                  Omer F. Rana},
  title        = {Creating Robust Deep Neural Networks with Coded Distributed Computing
                  for IoT},
  booktitle    = {{IEEE} International Conference on Edge Computing and Communications,
                  {EDGE} 2023, Chicago, IL, USA, July 2-8, 2023},
  pages        = {126--132},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/EDGE60047.2023.00029},
  doi          = {10.1109/EDGE60047.2023.00029},
  timestamp    = {Mon, 11 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/edge/HadidiCAK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/edge/HadidiCRK23,
  author       = {Ramyad Hadidi and
                  Jiashen Cao and
                  Michael S. Ryoo and
                  Hyesoon Kim},
  editor       = {Claudio A. Ardagna and
                  Feras M. Awaysheh and
                  Hongyi Bian and
                  Carl K. Chang and
                  Rong N. Chang and
                  Fl{\'{a}}via Coimbra Delicato and
                  Nirmit Desai and
                  Jing Fan and
                  Geoffrey C. Fox and
                  Andrzej Goscinski and
                  Zhi Jin and
                  Anna Kobusinska and
                  Omer F. Rana},
  title        = {Reducing Inference Latency with Concurrent Architectures for Image
                  Recognition at Edge},
  booktitle    = {{IEEE} International Conference on Edge Computing and Communications,
                  {EDGE} 2023, Chicago, IL, USA, July 2-8, 2023},
  pages        = {245--254},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/EDGE60047.2023.00046},
  doi          = {10.1109/EDGE60047.2023.00046},
  timestamp    = {Mon, 11 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/edge/HadidiCRK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/edge/HadidiGAK23,
  author       = {Ramyad Hadidi and
                  Nima Shoghi Ghaleshahi and
                  Bahar Asgari and
                  Hyesoon Kim},
  editor       = {Claudio A. Ardagna and
                  Feras M. Awaysheh and
                  Hongyi Bian and
                  Carl K. Chang and
                  Rong N. Chang and
                  Fl{\'{a}}via Coimbra Delicato and
                  Nirmit Desai and
                  Jing Fan and
                  Geoffrey C. Fox and
                  Andrzej Goscinski and
                  Zhi Jin and
                  Anna Kobusinska and
                  Omer F. Rana},
  title        = {Context-Aware Task Handling in Resource-Constrained Robots with Virtualization},
  booktitle    = {{IEEE} International Conference on Edge Computing and Communications,
                  {EDGE} 2023, Chicago, IL, USA, July 2-8, 2023},
  pages        = {255--261},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/EDGE60047.2023.00047},
  doi          = {10.1109/EDGE60047.2023.00047},
  timestamp    = {Mon, 11 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/edge/HadidiGAK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/JeongDBQHSKK23,
  author       = {Geonhwa Jeong and
                  Sana Damani and
                  Abhimanyu Rajeshkumar Bambhaniya and
                  Eric Qin and
                  Christopher J. Hughes and
                  Sreenivas Subramoney and
                  Hyesoon Kim and
                  Tushar Krishna},
  title        = {{VEGETA:} Vertically-Integrated Extensions for Sparse/Dense {GEMM}
                  Tile Acceleration on CPUs},
  booktitle    = {{IEEE} International Symposium on High-Performance Computer Architecture,
                  {HPCA} 2023, Montreal, QC, Canada, February 25 - March 1, 2023},
  pages        = {259--272},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/HPCA56546.2023.10071058},
  doi          = {10.1109/HPCA56546.2023.10071058},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/JeongDBQHSKK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/GeraK23,
  author       = {Prasun Gera and
                  Hyesoon Kim},
  title        = {Traversing Large Compressed Graphs on GPUs},
  booktitle    = {{IEEE} International Parallel and Distributed Processing Symposium,
                  {IPDPS} 2023, St. Petersburg, FL, USA, May 15-19, 2023},
  pages        = {25--35},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/IPDPS54959.2023.00013},
  doi          = {10.1109/IPDPS54959.2023.00013},
  timestamp    = {Tue, 25 Jul 2023 16:27:14 +0200},
  biburl       = {https://dblp.org/rec/conf/ipps/GeraK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ism/DharmavarapuPCK23,
  author       = {Abhilash Dharmavarapu and
                  Stefano Petrangeli and
                  Jiashen Cao and
                  Hyesoon Kim},
  title        = {{EHT-SR:} An Entropy-Based Hybrid Approach for Faster Super-Resolution},
  booktitle    = {{IEEE} International Symposium on Multimedia, {ISM} 2023, Laguna Hills,
                  CA, USA, December 11-13, 2023},
  pages        = {69--78},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISM59092.2023.00016},
  doi          = {10.1109/ISM59092.2023.00016},
  timestamp    = {Mon, 08 Apr 2024 20:48:43 +0200},
  biburl       = {https://dblp.org/rec/conf/ism/DharmavarapuPCK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/memsys/KimBK23,
  author       = {Euna Kim and
                  Andrei Bersatti and
                  Hyesoon Kim},
  title        = {Extending the Life of Old Systems with More Memory},
  booktitle    = {Proceedings of the International Symposium on Memory Systems, {MEMSYS}
                  2023, Alexandria, VA, USA, October 2-5, 2023},
  pages        = {18:1--18:3},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3631882.3631900},
  doi          = {10.1145/3631882.3631900},
  timestamp    = {Mon, 15 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/memsys/KimBK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ppopp/HanCG0SK23,
  author       = {Ruobing Han and
                  Jun Chen and
                  Bhanu Garg and
                  Jeffrey Young and
                  Jaewoong Sim and
                  Hyesoon Kim},
  editor       = {Maryam Mehri Dehnavi and
                  Milind Kulkarni and
                  Sriram Krishnamoorthy},
  title        = {CuPBoP: {A} Framework to Make {CUDA} Portable},
  booktitle    = {Proceedings of the 28th {ACM} {SIGPLAN} Annual Symposium on Principles
                  and Practice of Parallel Programming, PPoPP 2023, Montreal, QC, Canada,
                  25 February 2023 - 1 March 2023},
  pages        = {444--446},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3572848.3577504},
  doi          = {10.1145/3572848.3577504},
  timestamp    = {Sun, 17 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ppopp/HanCG0SK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sc/ChenZK23,
  author       = {Jun Chen and
                  Xule Zhou and
                  Hyesoon Kim},
  title        = {CuPBoP-AMD: Extending {CUDA} to {AMD} Platforms},
  booktitle    = {Proceedings of the {SC} '23 Workshops of The International Conference
                  on High Performance Computing, Network, Storage, and Analysis, {SC-W}
                  2023, Denver, CO, USA, November 12-17, 2023},
  pages        = {1093--1104},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3624062.3624185},
  doi          = {10.1145/3624062.3624185},
  timestamp    = {Tue, 28 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sc/ChenZK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/xsede/PalaniappanPJ0K23,
  author       = {Sri Ranganathan Palaniappan and
                  Varun Pateel and
                  Sam Jijina and
                  Jeffrey Young and
                  Hyesoon Kim},
  editor       = {Robert S. Sinkovits and
                  Alana Romanella and
                  Shelley Knuth and
                  Ken Hackworth and
                  Jeff Pummill},
  title        = {Unified Co-Simulation Framework for Autonomous UAVs},
  booktitle    = {Practice and Experience in Advanced Research Computing, {PEARC} 2023,
                  Portland, OR, USA, July 23-27, 2023},
  pages        = {474--477},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3569951.3597544},
  doi          = {10.1145/3569951.3597544},
  timestamp    = {Sun, 24 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/xsede/PalaniappanPJ0K23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2302-00734,
  author       = {Jiashen Cao and
                  Rathijit Sen and
                  Matteo Interlandi and
                  Joy Arulraj and
                  Hyesoon Kim},
  title        = {Revisiting Query Performance in {GPU} Database Systems},
  journal      = {CoRR},
  volume       = {abs/2302.00734},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2302.00734},
  doi          = {10.48550/ARXIV.2302.00734},
  eprinttype    = {arXiv},
  eprint       = {2302.00734},
  timestamp    = {Thu, 09 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2302-00734.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2302-08687,
  author       = {Geonhwa Jeong and
                  Sana Damani and
                  Abhimanyu Rajeshkumar Bambhaniya and
                  Eric Qin and
                  Christopher J. Hughes and
                  Sreenivas Subramoney and
                  Hyesoon Kim and
                  Tushar Krishna},
  title        = {{VEGETA:} Vertically-Integrated Extensions for Sparse/Dense {GEMM}
                  Tile Acceleration on CPUs},
  journal      = {CoRR},
  volume       = {abs/2302.08687},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2302.08687},
  doi          = {10.48550/ARXIV.2302.08687},
  eprinttype    = {arXiv},
  eprint       = {2302.08687},
  timestamp    = {Thu, 23 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2302-08687.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2308-02945,
  author       = {Yonghae Kim and
                  Anurag Kar and
                  Jaewon Lee and
                  Jaekyu Lee and
                  Hyesoon Kim},
  title        = {{RV-CURE:} {A} {RISC-V} Capability Architecture for Full Memory Safety},
  journal      = {CoRR},
  volume       = {abs/2308.02945},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2308.02945},
  doi          = {10.48550/ARXIV.2308.02945},
  eprinttype    = {arXiv},
  eprint       = {2308.02945},
  timestamp    = {Mon, 21 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2308-02945.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/taco/HanLSK22,
  author       = {Ruobing Han and
                  Jaewon Lee and
                  Jaewoong Sim and
                  Hyesoon Kim},
  title        = {{COX} : Exposing {CUDA} Warp-level Functions to CPUs},
  journal      = {{ACM} Trans. Archit. Code Optim.},
  volume       = {19},
  number       = {4},
  pages        = {59:1--59:25},
  year         = {2022},
  url          = {https://doi.org/10.1145/3554736},
  doi          = {10.1145/3554736},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/taco/HanLSK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/AsgariRMK22,
  author       = {Bahar Asgari and
                  Dheeraj Ramchandani and
                  Amaan Marfatia and
                  Hyesoon Kim},
  title        = {Maia: Matrix Inversion Acceleration Near Memory},
  booktitle    = {32nd International Conference on Field-Programmable Logic and Applications,
                  {FPL} 2022, Belfast, United Kingdom, August 29 - Sept. 2, 2022},
  pages        = {277--281},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/FPL57034.2022.00049},
  doi          = {10.1109/FPL57034.2022.00049},
  timestamp    = {Mon, 20 Feb 2023 17:38:16 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/AsgariRMK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hotchips/TineSSSACJRKYK22,
  author       = {Blaise Tine and
                  Varun Saxena and
                  Santosh Srivatsan and
                  Joshua R. Simpson and
                  Fadi Alzammar and
                  Liam Paul Cooper and
                  Sam Jijina and
                  Swetha Rajagoplan and
                  Tejaswini Anand Kumar and
                  Jeffrey Young and
                  Hyesoon Kim},
  title        = {Accelerating Graphic Rendering on Programmable {RISC-V} GPUs},
  booktitle    = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA,
                  August 21-23, 2022},
  pages        = {1--15},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/HCS55958.2022.9895607},
  doi          = {10.1109/HCS55958.2022.9895607},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hotchips/TineSSSACJRKYK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/LeeKCKLK22,
  author       = {Jaewon Lee and
                  Yonghae Kim and
                  Jiashen Cao and
                  Euna Kim and
                  Jaekyu Lee and
                  Hyesoon Kim},
  editor       = {Valentina Salapura and
                  Mohamed Zahran and
                  Fred Chong and
                  Lingjia Tang},
  title        = {Securing {GPU} via region-based bounds checking},
  booktitle    = {{ISCA} '22: The 49th Annual International Symposium on Computer Architecture,
                  New York, New York, USA, June 18 - 22, 2022},
  pages        = {27--41},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3470496.3527420},
  doi          = {10.1145/3470496.3527420},
  timestamp    = {Mon, 05 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isca/LeeKCKLK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sigmod/CaoSHAK22,
  author       = {Jiashen Cao and
                  Karan Sarkar and
                  Ramyad Hadidi and
                  Joy Arulraj and
                  Hyesoon Kim},
  editor       = {Zachary G. Ives and
                  Angela Bonifati and
                  Amr El Abbadi},
  title        = {FiGO: Fine-Grained Query Optimization in Video Analytics},
  booktitle    = {{SIGMOD} '22: International Conference on Management of Data, Philadelphia,
                  PA, USA, June 12 - 17, 2022},
  pages        = {559--572},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3514221.3517857},
  doi          = {10.1145/3514221.3517857},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sigmod/CaoSHAK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2206-07896,
  author       = {Ruobing Han and
                  Jun Chen and
                  Bhanu Garg and
                  Jeffrey Young and
                  Jaewoong Sim and
                  Hyesoon Kim},
  title        = {CuPBoP: {CUDA} for Parallelized and Broad-range Processors},
  journal      = {CoRR},
  volume       = {abs/2206.07896},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2206.07896},
  doi          = {10.48550/ARXIV.2206.07896},
  eprinttype    = {arXiv},
  eprint       = {2206.07896},
  timestamp    = {Tue, 21 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2206-07896.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/ShoghiBQK21,
  author       = {Nima Shoghi and
                  Andrei Bersatti and
                  Moinuddin K. Qureshi and
                  Hyesoon Kim},
  title        = {SmaQ: Smart Quantization for {DNN} Training by Exploiting Value Clustering},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {20},
  number       = {2},
  pages        = {126--129},
  year         = {2021},
  url          = {https://doi.org/10.1109/LCA.2021.3108505},
  doi          = {10.1109/LCA.2021.3108505},
  timestamp    = {Wed, 03 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cal/ShoghiBQK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/AsgariHKKY21,
  author       = {Bahar Asgari and
                  Ramyad Hadidi and
                  Tushar Krishna and
                  Hyesoon Kim and
                  Sudhakar Yalamanchili},
  title        = {Efficiently Solving Partial Differential Equations in a Partially
                  Reconfigurable Specialized Hardware},
  journal      = {{IEEE} Trans. Computers},
  volume       = {70},
  number       = {4},
  pages        = {524--538},
  year         = {2021},
  url          = {https://doi.org/10.1109/TC.2021.3060700},
  doi          = {10.1109/TC.2021.3060700},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/AsgariHKKY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asplos/HadidiAJASK21,
  author       = {Ramyad Hadidi and
                  Bahar Asgari and
                  Sam Jijina and
                  Adriana Amyette and
                  Nima Shoghi and
                  Hyesoon Kim},
  editor       = {Tim Sherwood and
                  Emery D. Berger and
                  Christos Kozyrakis},
  title        = {Quantifying the design-space tradeoffs in autonomous drones},
  booktitle    = {{ASPLOS} '21: 26th {ACM} International Conference on Architectural
                  Support for Programming Languages and Operating Systems, Virtual Event,
                  USA, April 19-23, 2021},
  pages        = {661--673},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3445814.3446721},
  doi          = {10.1145/3445814.3446721},
  timestamp    = {Sat, 30 Sep 2023 09:34:47 +0200},
  biburl       = {https://dblp.org/rec/conf/asplos/HadidiAJASK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/Jeong0SHSKK21,
  author       = {Geonhwa Jeong and
                  Eric Qin and
                  Ananda Samajdar and
                  Christopher J. Hughes and
                  Sreenivas Subramoney and
                  Hyesoon Kim and
                  Tushar Krishna},
  title        = {{RASA:} Efficient Register-Aware Systolic Array Matrix Engine for
                  {CPU}},
  booktitle    = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco,
                  CA, USA, December 5-9, 2021},
  pages        = {253--258},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/DAC18074.2021.9586257},
  doi          = {10.1109/DAC18074.2021.9586257},
  timestamp    = {Fri, 12 Nov 2021 12:31:50 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/Jeong0SHSKK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/AsgariHCSLK21,
  author       = {Bahar Asgari and
                  Ramyad Hadidi and
                  Jiashen Cao and
                  Da Eun Shim and
                  Sung Kyu Lim and
                  Hyesoon Kim},
  title        = {{FAFNIR:} Accelerating Sparse Gathering by Using Efficient Near-Memory
                  Intelligent Reduction},
  booktitle    = {{IEEE} International Symposium on High-Performance Computer Architecture,
                  {HPCA} 2021, Seoul, South Korea, February 27 - March 3, 2021},
  pages        = {908--920},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/HPCA51647.2021.00080},
  doi          = {10.1109/HPCA51647.2021.00080},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/AsgariHCSLK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iiswc/AsgariHDSMK21,
  author       = {Bahar Asgari and
                  Ramyad Hadidi and
                  Joshua Dierberger and
                  Charlotte Steinichen and
                  Amaan Marfatia and
                  Hyesoon Kim},
  title        = {Copernicus: Characterizing the Performance Implications of Compression
                  Formats Used in Sparse Workloads},
  booktitle    = {{IEEE} International Symposium on Workload Characterization, {IISWC}
                  2021, Storrs, CT, USA, November 7-9, 2021},
  pages        = {1--12},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IISWC53511.2021.00012},
  doi          = {10.1109/IISWC53511.2021.00012},
  timestamp    = {Wed, 19 Jan 2022 17:40:18 +0100},
  biburl       = {https://dblp.org/rec/conf/iiswc/AsgariHDSMK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/TineYEK21,
  author       = {Blaise Tine and
                  Krishna Praveen Yalamarthy and
                  Fares Elsabbagh and
                  Hyesoon Kim},
  title        = {Vortex: Extending the {RISC-V} {ISA} for {GPGPU} and 3D-Graphics},
  booktitle    = {{MICRO} '21: 54th Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  Virtual Event, Greece, October 18-22, 2021},
  pages        = {754--766},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3466752.3480128},
  doi          = {10.1145/3466752.3480128},
  timestamp    = {Tue, 19 Oct 2021 15:51:04 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/TineYEK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2102-08481,
  author       = {Jiashen Cao and
                  Ramyad Hadidi and
                  Joy Arulraj and
                  Hyesoon Kim},
  title        = {{THIA:} Accelerating Video Analytics using Early Inference and Fine-Grained
                  Query Planning},
  journal      = {CoRR},
  volume       = {abs/2102.08481},
  year         = {2021},
  url          = {https://arxiv.org/abs/2102.08481},
  eprinttype    = {arXiv},
  eprint       = {2102.08481},
  timestamp    = {Fri, 19 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2102-08481.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2104-04447,
  author       = {Ramyad Hadidi and
                  Jiashen Cao and
                  Hyesoon Kim},
  title        = {Creating Robust Deep Neural Networks With Coded Distributed Computing
                  for IoT Systems},
  journal      = {CoRR},
  volume       = {abs/2104.04447},
  year         = {2021},
  url          = {https://arxiv.org/abs/2104.04447},
  eprinttype    = {arXiv},
  eprint       = {2104.04447},
  timestamp    = {Tue, 13 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2104-04447.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2104-04563,
  author       = {Ramyad Hadidi and
                  Nima Shoghi Ghaleshahi and
                  Bahar Asgari and
                  Hyesoon Kim},
  title        = {Context-Aware Task Handling in Resource-Constrained Robots with Virtualization},
  journal      = {CoRR},
  volume       = {abs/2104.04563},
  year         = {2021},
  url          = {https://arxiv.org/abs/2104.04563},
  eprinttype    = {arXiv},
  eprint       = {2104.04563},
  timestamp    = {Fri, 22 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2104-04563.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2109-00673,
  author       = {Ruobing Han and
                  Blaise Tine and
                  Jaewon Lee and
                  Jaewoong Sim and
                  Hyesoon Kim},
  title        = {Supporting {CUDA} for an extended {RISC-V} {GPU} architecture},
  journal      = {CoRR},
  volume       = {abs/2109.00673},
  year         = {2021},
  url          = {https://arxiv.org/abs/2109.00673},
  eprinttype    = {arXiv},
  eprint       = {2109.00673},
  timestamp    = {Mon, 20 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2109-00673.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2110-01752,
  author       = {Geonhwa Jeong and
                  Eric Qin and
                  Ananda Samajdar and
                  Christopher J. Hughes and
                  Sreenivas Subramoney and
                  Hyesoon Kim and
                  Tushar Krishna},
  title        = {{RASA:} Efficient Register-Aware Systolic Array Matrix Engine for
                  {CPU}},
  journal      = {CoRR},
  volume       = {abs/2110.01752},
  year         = {2021},
  url          = {https://arxiv.org/abs/2110.01752},
  eprinttype    = {arXiv},
  eprint       = {2110.01752},
  timestamp    = {Fri, 08 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2110-01752.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2110-10857,
  author       = {Blaise Tine and
                  Fares Elsabbagh and
                  Krishna Praveen Yalamarthy and
                  Hyesoon Kim},
  title        = {Vortex: Extending the {RISC-V} {ISA} for {GPGPU} and 3D-GraphicsResearch},
  journal      = {CoRR},
  volume       = {abs/2110.10857},
  year         = {2021},
  url          = {https://arxiv.org/abs/2110.10857},
  eprinttype    = {arXiv},
  eprint       = {2110.10857},
  timestamp    = {Thu, 28 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2110-10857.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2112-10034,
  author       = {Ruobing Han and
                  Jaewon Lee and
                  Jaewoong Sim and
                  Hyesoon Kim},
  title        = {{COX:} {CUDA} on {X86} by Exposing Warp-Level Functions to CPUs},
  journal      = {CoRR},
  volume       = {abs/2112.10034},
  year         = {2021},
  url          = {https://arxiv.org/abs/2112.10034},
  eprinttype    = {arXiv},
  eprint       = {2112.10034},
  timestamp    = {Tue, 04 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2112-10034.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iotj/HadidiCRK20,
  author       = {Ramyad Hadidi and
                  Jiashen Cao and
                  Michael S. Ryoo and
                  Hyesoon Kim},
  title        = {Toward Collaborative Inferencing of Deep Neural Networks on Internet-of-Things
                  Devices},
  journal      = {{IEEE} Internet Things J.},
  volume       = {7},
  number       = {6},
  pages        = {4950--4960},
  year         = {2020},
  url          = {https://doi.org/10.1109/JIOT.2020.2972000},
  doi          = {10.1109/JIOT.2020.2972000},
  timestamp    = {Thu, 06 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iotj/HadidiCRK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/Kim20,
  author       = {Hyesoon Kim},
  title        = {The 2019 Top Picks in Computer Architecture},
  journal      = {{IEEE} Micro},
  volume       = {40},
  number       = {3},
  pages        = {6--9},
  year         = {2020},
  url          = {https://doi.org/10.1109/MM.2020.2992834},
  doi          = {10.1109/MM.2020.2992834},
  timestamp    = {Wed, 10 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/Kim20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/pvldb/GeraKSKB20,
  author       = {Prasun Gera and
                  Hyojong Kim and
                  Piyush Sao and
                  Hyesoon Kim and
                  David A. Bader},
  title        = {Traversing Large Graphs on GPUs with Unified Memory},
  journal      = {Proc. {VLDB} Endow.},
  volume       = {13},
  number       = {7},
  pages        = {1119--1133},
  year         = {2020},
  url          = {http://www.vldb.org/pvldb/vol13/p1119-gera.pdf},
  doi          = {10.14778/3384345.3384358},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/pvldb/GeraKSKB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asplos/KimSGHK20,
  author       = {Hyojong Kim and
                  Jaewoong Sim and
                  Prasun Gera and
                  Ramyad Hadidi and
                  Hyesoon Kim},
  editor       = {James R. Larus and
                  Luis Ceze and
                  Karin Strauss},
  title        = {Batch-Aware Unified Memory Management in GPUs for Irregular Workloads},
  booktitle    = {{ASPLOS} '20: Architectural Support for Programming Languages and
                  Operating Systems, Lausanne, Switzerland, March 16-20, 2020},
  pages        = {1357--1370},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3373376.3378529},
  doi          = {10.1145/3373376.3378529},
  timestamp    = {Tue, 21 Jul 2020 12:07:35 +0200},
  biburl       = {https://dblp.org/rec/conf/asplos/KimSGHK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/AsgariHGK20,
  author       = {Bahar Asgari and
                  Ramyad Hadidi and
                  Nima Shoghi Ghaleshahi and
                  Hyesoon Kim},
  title        = {{PISCES:} Power-Aware Implementation of {SLAM} by Customizing Efficient
                  Sparse Algebra},
  booktitle    = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco,
                  CA, USA, July 20-24, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/DAC18072.2020.9218550},
  doi          = {10.1109/DAC18072.2020.9218550},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/AsgariHGK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/TineYK20,
  author       = {Blaise{-}Pascal Tine and
                  Sudhakar Yalamanchili and
                  Hyesoon Kim},
  title        = {Tango: An Optimizing Compiler for Just-In-Time {RTL} Simulation},
  booktitle    = {2020 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020},
  pages        = {157--162},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.23919/DATE48585.2020.9116253},
  doi          = {10.23919/DATE48585.2020.9116253},
  timestamp    = {Thu, 25 Jun 2020 12:55:44 +0200},
  biburl       = {https://dblp.org/rec/conf/date/TineYK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/AsgariHK20,
  author       = {Bahar Asgari and
                  Ramyad Hadidi and
                  Hyesoon Kim},
  title        = {{ASCELLA:} Accelerating Sparse Computation by Enabling Stream Accesses
                  to Memory},
  booktitle    = {2020 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020},
  pages        = {318--321},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.23919/DATE48585.2020.9116501},
  doi          = {10.23919/DATE48585.2020.9116501},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/AsgariHK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/AsgariHK20,
  author       = {Bahar Asgari and
                  Ramyad Hadidi and
                  Hyesoon Kim},
  title        = {Proposing a Fast and Scalable Systolic Array for Matrix Multiplication},
  booktitle    = {28th {IEEE} Annual International Symposium on Field-Programmable Custom
                  Computing Machines, {FCCM} 2020, Fayetteville, AR, USA, May 3-6, 2020},
  pages        = {204},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/FCCM48280.2020.00035},
  doi          = {10.1109/FCCM48280.2020.00035},
  timestamp    = {Thu, 25 Jun 2020 14:25:04 +0200},
  biburl       = {https://dblp.org/rec/conf/fccm/AsgariHK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/TineLVK20,
  author       = {Blaise Tine and
                  Seyong Lee and
                  Jeffrey S. Vetter and
                  Hyesoon Kim},
  editor       = {Stephen Neuendorffer and
                  Lesley Shannon},
  title        = {Productive Hardware Designs using Hybrid {HLS-RTL} Development},
  booktitle    = {{FPGA} '20: The 2020 {ACM/SIGDA} International Symposium on Field-Programmable
                  Gate Arrays, Seaside, CA, USA, February 23-25, 2020},
  pages        = {311},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3373087.3375338},
  doi          = {10.1145/3373087.3375338},
  timestamp    = {Wed, 04 Mar 2020 13:49:01 +0100},
  biburl       = {https://dblp.org/rec/conf/fpga/TineLVK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/TineELVK20,
  author       = {Blaise Tine and
                  Fares Elsabbagh and
                  Seyong Lee and
                  Jeffrey S. Vetter and
                  Hyesoon Kim},
  editor       = {Stephen Neuendorffer and
                  Lesley Shannon},
  title        = {Cash: {A} Single-Source Hardware-Software Codesign Framework for Rapid
                  Prototyping},
  booktitle    = {{FPGA} '20: The 2020 {ACM/SIGDA} International Symposium on Field-Programmable
                  Gate Arrays, Seaside, CA, USA, February 23-25, 2020},
  pages        = {321},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3373087.3375340},
  doi          = {10.1145/3373087.3375340},
  timestamp    = {Wed, 04 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpga/TineELVK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/LeeCYK20,
  author       = {Jaewon Lee and
                  Hanning Chen and
                  Jeffrey S. Young and
                  Hyesoon Kim},
  editor       = {Nele Mentens and
                  Leonel Sousa and
                  Pedro Trancoso and
                  Miquel Peric{\`{a}}s and
                  Ioannis Sourdis},
  title        = {{RISC-V} {FPGA} Platform Toward ROS-Based Robotics Application},
  booktitle    = {30th International Conference on Field-Programmable Logic and Applications,
                  {FPL} 2020, Gothenburg, Sweden, August 31 - September 4, 2020},
  pages        = {370},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/FPL50879.2020.00075},
  doi          = {10.1109/FPL50879.2020.00075},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpl/LeeCYK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/AsgariHKKY20,
  author       = {Bahar Asgari and
                  Ramyad Hadidi and
                  Tushar Krishna and
                  Hyesoon Kim and
                  Sudhakar Yalamanchili},
  title        = {{ALRESCHA:} {A} Lightweight Reconfigurable Sparse-Computation Accelerator},
  booktitle    = {{IEEE} International Symposium on High Performance Computer Architecture,
                  {HPCA} 2020, San Diego, CA, USA, February 22-26, 2020},
  pages        = {249--260},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/HPCA47549.2020.00029},
  doi          = {10.1109/HPCA47549.2020.00029},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/AsgariHKKY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/AsgariHK20,
  author       = {Bahar Asgari and
                  Ramyad Hadidi and
                  Hyesoon Kim},
  title        = {{MEISSA:} Multiplying Matrices Efficiently in a Scalable Systolic
                  Architecture},
  booktitle    = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020,
                  Hartford, CT, USA, October 18-21, 2020},
  pages        = {130--137},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ICCD50377.2020.00036},
  doi          = {10.1109/ICCD50377.2020.00036},
  timestamp    = {Mon, 11 Jan 2021 13:35:27 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/AsgariHK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispass/JijinaAGHK20,
  author       = {Sam Jijina and
                  Adriana Amyette and
                  Nima Shoghi Ghaleshahi and
                  Ramyad Hadidi and
                  Hyesoon Kim},
  title        = {Understanding the Software and Hardware Stacks of a General-Purpose
                  Cognitive Drone},
  booktitle    = {{IEEE} International Symposium on Performance Analysis of Systems
                  and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020},
  pages        = {212--214},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISPASS48437.2020.00036},
  doi          = {10.1109/ISPASS48437.2020.00036},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ispass/JijinaAGHK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/memsys/KumarK20,
  author       = {Pranith Kumar and
                  Hyesoon Kim},
  title        = {Parallel Hash Table Design for {NDP} Systems},
  booktitle    = {{MEMSYS} 2020: The International Symposium on Memory Systems, Washington,
                  DC, USA, September, 2020},
  pages        = {39--45},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3422575.3422776},
  doi          = {10.1145/3422575.3422776},
  timestamp    = {Fri, 09 Apr 2021 13:11:20 +0200},
  biburl       = {https://dblp.org/rec/conf/memsys/KumarK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/memsys/KimK20,
  author       = {Euna Kim and
                  Hyesoon Kim},
  title        = {Things to Consider to Enable Dynamic Graphs in Processing-in-Memory},
  booktitle    = {{MEMSYS} 2020: The International Symposium on Memory Systems, Washington,
                  DC, USA, September, 2020},
  pages        = {297--303},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3422575.3422801},
  doi          = {10.1145/3422575.3422801},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/memsys/KimK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/memsys/BersattiGK20,
  author       = {Andrei Bersatti and
                  Nima Shoghi and
                  Hyesoon Kim},
  title        = {Neural Network Weight Compression with {NNW-BDI}},
  booktitle    = {{MEMSYS} 2020: The International Symposium on Memory Systems, Washington,
                  DC, USA, September, 2020},
  pages        = {335--340},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3422575.3422805},
  doi          = {10.1145/3422575.3422805},
  timestamp    = {Fri, 22 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/memsys/BersattiGK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/KimLK20,
  author       = {Yonghae Kim and
                  Jaekyu Lee and
                  Hyesoon Kim},
  title        = {Hardware-based Always-On Heap Memory Safety},
  booktitle    = {53rd Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  {MICRO} 2020, Athens, Greece, October 17-21, 2020},
  pages        = {1153--1166},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/MICRO50266.2020.00095},
  doi          = {10.1109/MICRO50266.2020.00095},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/KimLK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/IEEEpact/2020,
  editor       = {Vivek Sarkar and
                  Hyesoon Kim},
  title        = {{PACT} '20: International Conference on Parallel Architectures and
                  Compilation Techniques, Virtual Event, GA, USA, October 3-7, 2020},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3410463},
  doi          = {10.1145/3410463},
  isbn         = {978-1-4503-8075-1},
  timestamp    = {Fri, 23 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/IEEEpact/2020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2002-12151,
  author       = {Fares Elsabbagh and
                  Blaise Tine and
                  Priyadarshini Roshan and
                  Ethan Lyons and
                  Euna Kim and
                  Da Eun Shim and
                  Lingjun Zhu and
                  Sung Kyu Lim and
                  Hyesoon Kim},
  title        = {Vortex: OpenCL Compatible {RISC-V} {GPGPU}},
  journal      = {CoRR},
  volume       = {abs/2002.12151},
  year         = {2020},
  url          = {https://arxiv.org/abs/2002.12151},
  eprinttype    = {arXiv},
  eprint       = {2002.12151},
  timestamp    = {Thu, 05 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2002-12151.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2003-06464,
  author       = {Ramyad Hadidi and
                  Bahar Asgari and
                  Jiashen Cao and
                  Younmin Bae and
                  Hyojong Kim and
                  Michael S. Ryoo and
                  Hyesoon Kim},
  title        = {Edge-Tailored Perception: Fast Inferencing in-the-Edge with Efficient
                  Model Distribution},
  journal      = {CoRR},
  volume       = {abs/2003.06464},
  year         = {2020},
  url          = {https://arxiv.org/abs/2003.06464},
  eprinttype    = {arXiv},
  eprint       = {2003.06464},
  timestamp    = {Tue, 17 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2003-06464.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2011-07092,
  author       = {Ramyad Hadidi and
                  Jiashen Cao and
                  Michael S. Ryoo and
                  Hyesoon Kim},
  title        = {Reducing Inference Latency with Concurrent Architectures for Image
                  Recognition},
  journal      = {CoRR},
  volume       = {abs/2011.07092},
  year         = {2020},
  url          = {https://arxiv.org/abs/2011.07092},
  eprinttype    = {arXiv},
  eprint       = {2011.07092},
  timestamp    = {Wed, 18 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2011-07092.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2011-08936,
  author       = {Nima Shoghi Ghaleshahi and
                  Ramyad Hadidi and
                  Jaewon Lee and
                  Jun Chen and
                  Arthur Siqueira and
                  Rahul Rajan and
                  Shaan Dhawan and
                  Pooya Shoghi Ghalehshahi and
                  Hyesoon Kim},
  title        = {Secure Location-Aware Authentication and Communication for Intelligent
                  Transportation Systems},
  journal      = {CoRR},
  volume       = {abs/2011.08936},
  year         = {2020},
  url          = {https://arxiv.org/abs/2011.08936},
  eprinttype    = {arXiv},
  eprint       = {2011.08936},
  timestamp    = {Wed, 25 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2011-08936.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2011-10932,
  author       = {Bahar Asgari and
                  Ramyad Hadidi and
                  Joshua Dierberger and
                  Charlotte Steinichen and
                  Hyesoon Kim},
  title        = {Copernicus: Characterizing the Performance Implications of Compression
                  Formats Used in Sparse Workloads},
  journal      = {CoRR},
  volume       = {abs/2011.10932},
  year         = {2020},
  url          = {https://arxiv.org/abs/2011.10932},
  eprinttype    = {arXiv},
  eprint       = {2011.10932},
  timestamp    = {Wed, 25 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2011-10932.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jpdc/NaiHXKSK19,
  author       = {Lifeng Nai and
                  Ramyad Hadidi and
                  He Xiao and
                  Hyojong Kim and
                  Jaewoong Sim and
                  Hyesoon Kim},
  title        = {Thermal-aware processing-in-memory instruction offloading},
  journal      = {J. Parallel Distributed Comput.},
  volume       = {130},
  pages        = {193--207},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.jpdc.2019.03.005},
  doi          = {10.1016/J.JPDC.2019.03.005},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jpdc/NaiHXKSK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/AsgariHKY19,
  author       = {Bahar Asgari and
                  Ramyad Hadidi and
                  Hyesoon Kim and
                  Sudhakar Yalamanchili},
  title        = {{ERIDANUS:} Efficiently Running Inference of DNNs Using Systolic Arrays},
  journal      = {{IEEE} Micro},
  volume       = {39},
  number       = {5},
  pages        = {46--54},
  year         = {2019},
  url          = {https://doi.org/10.1109/MM.2019.2930057},
  doi          = {10.1109/MM.2019.2930057},
  timestamp    = {Wed, 18 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/AsgariHKY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/IEEEpact/TineYKV19,
  author       = {Blaise{-}Pascal Tine and
                  Sudhakar Yalamanchili and
                  Hyesoon Kim and
                  Jeffrey S. Vetter},
  title        = {{POSTER:} Tango: An Optimizing Compiler for Just-In-Time {RTL} Simulation},
  booktitle    = {28th International Conference on Parallel Architectures and Compilation
                  Techniques, {PACT} 2019, Seattle, WA, USA, September 23-26, 2019},
  pages        = {481--482},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/PACT.2019.00055},
  doi          = {10.1109/PACT.2019.00055},
  timestamp    = {Wed, 13 Nov 2019 18:02:12 +0100},
  biburl       = {https://dblp.org/rec/conf/IEEEpact/TineYKV19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cgo/KimK19,
  author       = {Yonghae Kim and
                  Hyesoon Kim},
  editor       = {Mahmut Taylan Kandemir and
                  Alexandra Jimborean and
                  Tipp Moseley},
  title        = {Translating {CUDA} to OpenCL for Hardware Generation using Neural
                  Machine Translation},
  booktitle    = {{IEEE/ACM} International Symposium on Code Generation and Optimization,
                  {CGO} 2019, Washington, DC, USA, February 16-20, 2019},
  pages        = {285--286},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/CGO.2019.8661172},
  doi          = {10.1109/CGO.2019.8661172},
  timestamp    = {Wed, 16 Oct 2019 14:14:57 +0200},
  biburl       = {https://dblp.org/rec/conf/cgo/KimK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/CaoHAK19,
  author       = {Jiashen Cao and
                  Ramyad Hadidi and
                  Joy Arulraj and
                  Hyesoon Kim},
  title        = {Video analytics from edge to server: work-in-progress},
  booktitle    = {Proceedings of the International Conference on Hardware/Software Codesign
                  and System Synthesis Companion, {CODES+ISSS} 2019, part of {ESWEEK}
                  2019, New York, NY, USA, October 13-18, 2019},
  pages        = {14:1--14:2},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3349567.3351733},
  doi          = {10.1145/3349567.3351733},
  timestamp    = {Wed, 27 Nov 2019 17:07:16 +0100},
  biburl       = {https://dblp.org/rec/conf/codes/CaoHAK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ZhangKKKJ19,
  author       = {Jie Zhang and
                  Miryeong Kwon and
                  Hyojong Kim and
                  Hyesoon Kim and
                  Myoungsoo Jung},
  title        = {FlashGPU: Placing New Flash Next to {GPU} Cores},
  booktitle    = {Proceedings of the 56th Annual Design Automation Conference 2019,
                  {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019},
  pages        = {156},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3316781.3317827},
  doi          = {10.1145/3316781.3317827},
  timestamp    = {Sun, 08 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/ZhangKKKJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/AsgariHKY19,
  author       = {Bahar Asgari and
                  Ramyad Hadidi and
                  Hyesoon Kim and
                  Sudhakar Yalamanchili},
  title        = {{LODESTAR:} Creating Locally-Dense CNNs for Efficient Inference on
                  Systolic Arrays},
  booktitle    = {Proceedings of the 56th Annual Design Automation Conference 2019,
                  {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019},
  pages        = {233},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3316781.3322472},
  doi          = {10.1145/3316781.3322472},
  timestamp    = {Sun, 08 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/AsgariHKY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/HadidiCRK19,
  author       = {Ramyad Hadidi and
                  Jiashen Cao and
                  Michael S. Ryoo and
                  Hyesoon Kim},
  title        = {Robustly Executing DNNs in IoT Systems Using Coded Distributed Computing},
  booktitle    = {Proceedings of the 56th Annual Design Automation Conference 2019,
                  {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019},
  pages        = {234},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3316781.3322474},
  doi          = {10.1145/3316781.3322474},
  timestamp    = {Sun, 08 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/HadidiCRK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/BaeHACK19,
  author       = {Younmin Bae and
                  Ramyad Hadidi and
                  Bahar Asgari and
                  Jiashen Cao and
                  Hyesoon Kim},
  editor       = {Ioannis Sourdis and
                  Christos{-}Savvas Bouganis and
                  Carlos {\'{A}}lvarez and
                  Leonel Antonio Toledo D{\'{\i}}az and
                  Pedro Valero{-}Lara and
                  Xavier Martorell},
  title        = {Capella: Customizing Perception for Edge Devices by Efficiently Allocating
                  FPGAs to DNNs},
  booktitle    = {29th International Conference on Field Programmable Logic and Applications,
                  {FPL} 2019, Barcelona, Spain, September 8-12, 2019},
  pages        = {421},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/FPL.2019.00076},
  doi          = {10.1109/FPL.2019.00076},
  timestamp    = {Sun, 22 Mar 2020 18:13:30 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/BaeHACK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iiswc/HadidiCXAKK19,
  author       = {Ramyad Hadidi and
                  Jiashen Cao and
                  Yilun Xie and
                  Bahar Asgari and
                  Tushar Krishna and
                  Hyesoon Kim},
  title        = {Characterizing the Deployment of Deep Neural Networks on Commercial
                  Edge Devices},
  booktitle    = {{IEEE} International Symposium on Workload Characterization, {IISWC}
                  2019, Orlando, FL, USA, November 3-5, 2019},
  pages        = {35--48},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IISWC47752.2019.9041955},
  doi          = {10.1109/IISWC47752.2019.9041955},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iiswc/HadidiCXAKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispass/LeeK19,
  author       = {Joo Hwan Lee and
                  Hyesoon Kim},
  title        = {Empirical Investigation of Stale Value Tolerance on Parallel {RNN}
                  Training},
  booktitle    = {{IEEE} International Symposium on Performance Analysis of Systems
                  and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019},
  pages        = {153--164},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISPASS.2019.00029},
  doi          = {10.1109/ISPASS.2019.00029},
  timestamp    = {Wed, 16 Oct 2019 14:14:56 +0200},
  biburl       = {https://dblp.org/rec/conf/ispass/LeeK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/xsede/MerckWLJSHSLCHK19,
  author       = {Matthew L. Merck and
                  Bingyao Wang and
                  Lixing Liu and
                  Chunjun Jia and
                  Arthur Siqueira and
                  Qiusen Huang and
                  Abhijeet Saraha and
                  Dongsuk Lim and
                  Jiashen Cao and
                  Ramyad Hadidi and
                  Hyesoon Kim},
  editor       = {Thomas R. Furlani},
  title        = {Characterizing the Execution of Deep Neural Networks on Collaborative
                  Robots and Edge Devices},
  booktitle    = {Proceedings of the Practice and Experience in Advanced Research Computing
                  on Rise of the Machines (learning), {PEARC} 2019, Chicago, IL, USA,
                  July 28 - August 01, 2019},
  pages        = {65:1--65:6},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3332186.3333049},
  doi          = {10.1145/3332186.3333049},
  timestamp    = {Fri, 02 Aug 2019 13:58:05 +0200},
  biburl       = {https://dblp.org/rec/conf/xsede/MerckWLJSHSLCHK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1901-02537,
  author       = {Ramyad Hadidi and
                  Jiashen Cao and
                  Michael S. Ryoo and
                  Hyesoon Kim},
  title        = {Collaborative Execution of Deep Neural Networks on Internet of Things
                  Devices},
  journal      = {CoRR},
  volume       = {abs/1901.02537},
  year         = {2019},
  url          = {http://arxiv.org/abs/1901.02537},
  eprinttype    = {arXiv},
  eprint       = {1901.02537},
  timestamp    = {Fri, 01 Feb 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1901-02537.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1905-07653,
  author       = {Yonghae Kim and
                  Hyesoon Kim},
  title        = {A Case Study: Exploiting Neural Machine Translation to Translate {CUDA}
                  to OpenCL},
  journal      = {CoRR},
  volume       = {abs/1905.07653},
  year         = {2019},
  url          = {http://arxiv.org/abs/1905.07653},
  eprinttype    = {arXiv},
  eprint       = {1905.07653},
  timestamp    = {Tue, 28 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1905-07653.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ral/HadidiCWRK18,
  author       = {Ramyad Hadidi and
                  Jiashen Cao and
                  Matthew Woodward and
                  Michael S. Ryoo and
                  Hyesoon Kim},
  title        = {Distributed Perception by Collaborative Robots},
  journal      = {{IEEE} Robotics Autom. Lett.},
  volume       = {3},
  number       = {4},
  pages        = {3709--3716},
  year         = {2018},
  url          = {https://doi.org/10.1109/LRA.2018.2856261},
  doi          = {10.1109/LRA.2018.2856261},
  timestamp    = {Thu, 02 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ral/HadidiCWRK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/taco/KimHNKJEKL18,
  author       = {Hyojong Kim and
                  Ramyad Hadidi and
                  Lifeng Nai and
                  Hyesoon Kim and
                  Nuwan Jayasena and
                  Yasuko Eckert and
                  Onur Kayiran and
                  Gabriel H. Loh},
  title        = {{CODA:} Enabling Co-location of Computation and Data for Multiple
                  {GPU} Systems},
  journal      = {{ACM} Trans. Archit. Code Optim.},
  volume       = {15},
  number       = {3},
  pages        = {32:1--32:23},
  year         = {2018},
  url          = {https://doi.org/10.1145/3232521},
  doi          = {10.1145/3232521},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/taco/KimHNKJEKL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/LeeK18,
  author       = {Joo Hwan Lee and
                  Hyesoon Kim},
  title        = {StaleLearn: Learning Acceleration with Asynchronous Synchronization
                  Between Model Replicas on {PIM}},
  journal      = {{IEEE} Trans. Computers},
  volume       = {67},
  number       = {6},
  pages        = {861--873},
  year         = {2018},
  url          = {https://doi.org/10.1109/TC.2017.2780237},
  doi          = {10.1109/TC.2017.2780237},
  timestamp    = {Fri, 01 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/LeeK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asplos/HadidiCWRK18,
  author       = {Ramyad Hadidi and
                  Jiashen Cao and
                  Matthew Woodward and
                  Michael S. Ryoo and
                  Hyesoon Kim},
  editor       = {Luis Ceze and
                  Natalie D. Enright Jerger and
                  Babak Falsafi and
                  Grigori Fursin and
                  Anton Lokhmotov and
                  Thierry Moreau and
                  Adrian Sampson and
                  Phillip Stanley{-}Marbell},
  title        = {Real-Time Image Recognition Using Collaborative IoT Devices},
  booktitle    = {Proceedings of the 1st on Reproducible Quality-Efficient Systems Tournament
                  on Co-designing Pareto-efficient Deep Learning, ReQuEST@ASPLOS 2018,
                  Williamsburg, VA, USA, March 24, 2018},
  pages        = {4},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3229762.3229765},
  doi          = {10.1145/3229762.3229765},
  timestamp    = {Wed, 21 Nov 2018 12:44:09 +0100},
  biburl       = {https://dblp.org/rec/conf/asplos/HadidiCWRK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/NaiHXKSK18,
  author       = {Lifeng Nai and
                  Ramyad Hadidi and
                  He Xiao and
                  Hyojong Kim and
                  Jaewoong Sim and
                  Hyesoon Kim},
  title        = {CoolPIM: Thermal-Aware Source Throttling for Efficient {PIM} Instruction
                  Offloading},
  booktitle    = {2018 {IEEE} International Parallel and Distributed Processing Symposium,
                  {IPDPS} 2018, Vancouver, BC, Canada, May 21-25, 2018},
  pages        = {680--689},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/IPDPS.2018.00077},
  doi          = {10.1109/IPDPS.2018.00077},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/NaiHXKSK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispass/HadidiAYMGKK18,
  author       = {Ramyad Hadidi and
                  Bahar Asgari and
                  Jeffrey S. Young and
                  Burhan Ahmad Mudassar and
                  Kartikay Garg and
                  Tushar Krishna and
                  Hyesoon Kim},
  title        = {Performance Implications of NoCs on 3D-Stacked Memories: Insights
                  from the Hybrid Memory Cube},
  booktitle    = {{IEEE} International Symposium on Performance Analysis of Systems
                  and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018},
  pages        = {99--108},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISPASS.2018.00018},
  doi          = {10.1109/ISPASS.2018.00018},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ispass/HadidiAYMGKK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispass/GeraKKHGL18,
  author       = {Prasun Gera and
                  Hyojong Kim and
                  Hyesoon Kim and
                  Sunpyo Hong and
                  Vinod George and
                  Chi{-}Keung Luk},
  title        = {Performance Characterisation and Simulation of Intel's Integrated
                  {GPU} Architecture},
  booktitle    = {{IEEE} International Symposium on Performance Analysis of Systems
                  and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018},
  pages        = {139--148},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISPASS.2018.00027},
  doi          = {10.1109/ISPASS.2018.00027},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispass/GeraKKHGL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1802-02138,
  author       = {Ramyad Hadidi and
                  Jiashen Cao and
                  Matthew Woodward and
                  Michael S. Ryoo and
                  Hyesoon Kim},
  title        = {Musical Chair: Efficient Real-Time Recognition Using Collaborative
                  IoT Devices},
  journal      = {CoRR},
  volume       = {abs/1802.02138},
  year         = {2018},
  url          = {http://arxiv.org/abs/1802.02138},
  eprinttype    = {arXiv},
  eprint       = {1802.02138},
  timestamp    = {Sat, 23 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1802-02138.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jpdc/NaiXTK17,
  author       = {Lifeng Nai and
                  Yinglong Xia and
                  Ilie Gabriel Tanase and
                  Hyesoon Kim},
  title        = {Exploring big graph computing - An empirical study from architectural
                  perspective},
  journal      = {J. Parallel Distributed Comput.},
  volume       = {108},
  pages        = {122--137},
  year         = {2017},
  url          = {https://doi.org/10.1016/j.jpdc.2016.07.006},
  doi          = {10.1016/J.JPDC.2016.07.006},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jpdc/NaiXTK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/taco/HadidiNKK17,
  author       = {Ramyad Hadidi and
                  Lifeng Nai and
                  Hyojong Kim and
                  Hyesoon Kim},
  title        = {{CAIRO:} {A} Compiler-Assisted Technique for Enabling Instruction-Level
                  Offloading of Processing-In-Memory},
  journal      = {{ACM} Trans. Archit. Code Optim.},
  volume       = {14},
  number       = {4},
  pages        = {48:1--48:25},
  year         = {2017},
  url          = {https://doi.org/10.1145/3155287},
  doi          = {10.1145/3155287},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/taco/HadidiNKK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/NaiHSKKK17,
  author       = {Lifeng Nai and
                  Ramyad Hadidi and
                  Jaewoong Sim and
                  Hyojong Kim and
                  Pranith Kumar and
                  Hyesoon Kim},
  title        = {GraphPIM: Enabling Instruction-Level {PIM} Offloading in Graph Computing
                  Frameworks},
  booktitle    = {2017 {IEEE} International Symposium on High Performance Computer Architecture,
                  {HPCA} 2017, Austin, TX, USA, February 4-8, 2017},
  pages        = {457--468},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/HPCA.2017.54},
  doi          = {10.1109/HPCA.2017.54},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/NaiHSKKK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iiswc/HadidiAMMYK17,
  author       = {Ramyad Hadidi and
                  Bahar Asgari and
                  Burhan Ahmad Mudassar and
                  Saibal Mukhopadhyay and
                  Sudhakar Yalamanchili and
                  Hyesoon Kim},
  title        = {Demystifying the characteristics of 3D-stacked memories: {A} case
                  study for Hybrid Memory Cube},
  booktitle    = {2017 {IEEE} International Symposium on Workload Characterization,
                  {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017},
  pages        = {66--75},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/IISWC.2017.8167757},
  doi          = {10.1109/IISWC.2017.8167757},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iiswc/HadidiAMMYK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/HuangNKKK17,
  author       = {Jen{-}Cheng Huang and
                  Lifeng Nai and
                  Pranith Kumar and
                  Hyojong Kim and
                  Hyesoon Kim},
  title        = {SimProf: {A} Sampling Framework for Data Analytic Workloads},
  booktitle    = {2017 {IEEE} International Parallel and Distributed Processing Symposium,
                  {IPDPS} 2017, Orlando, FL, USA, May 29 - June 2, 2017},
  pages        = {595--604},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/IPDPS.2017.118},
  doi          = {10.1109/IPDPS.2017.118},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/HuangNKKK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/memsys/KerseyKY17,
  author       = {Chad D. Kersey and
                  Hyesoon Kim and
                  Sudhakar Yalamanchili},
  title        = {Lightweight {SIMT} core designs for intelligent 3D stacked {DRAM}},
  booktitle    = {Proceedings of the International Symposium on Memory Systems, {MEMSYS}
                  2017, Alexandria, VA, USA, October 02 - 05, 2017},
  pages        = {49--59},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3132402.3132426},
  doi          = {10.1145/3132402.3132426},
  timestamp    = {Fri, 13 Nov 2020 09:24:44 +0100},
  biburl       = {https://dblp.org/rec/conf/memsys/KerseyKY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/uss/0001SGKKP17,
  author       = {Sangho Lee and
                  Ming{-}Wei Shih and
                  Prasun Gera and
                  Taesoo Kim and
                  Hyesoon Kim and
                  Marcus Peinado},
  editor       = {Engin Kirda and
                  Thomas Ristenpart},
  title        = {Inferring Fine-grained Control Flow Inside {SGX} Enclaves with Branch
                  Shadowing},
  booktitle    = {26th {USENIX} Security Symposium, {USENIX} Security 2017, Vancouver,
                  BC, Canada, August 16-18, 2017},
  pages        = {557--574},
  publisher    = {{USENIX} Association},
  year         = {2017},
  url          = {https://www.usenix.org/conference/usenixsecurity17/technical-sessions/presentation/lee-sangho},
  timestamp    = {Mon, 01 Feb 2021 08:42:59 +0100},
  biburl       = {https://dblp.org/rec/conf/uss/0001SGKKP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/HadidiAMMYK17,
  author       = {Ramyad Hadidi and
                  Bahar Asgari and
                  Burhan Ahmad Mudassar and
                  Saibal Mukhopadhyay and
                  Sudhakar Yalamanchili and
                  Hyesoon Kim},
  title        = {Demystifying the Characteristics of 3D-Stacked Memories: {A} Case
                  Study for Hybrid Memory Cube},
  journal      = {CoRR},
  volume       = {abs/1706.02725},
  year         = {2017},
  url          = {http://arxiv.org/abs/1706.02725},
  eprinttype    = {arXiv},
  eprint       = {1706.02725},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/HadidiAMMYK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/HadidiAYMGKK17,
  author       = {Ramyad Hadidi and
                  Bahar Asgari and
                  Jeffrey S. Young and
                  Burhan Ahmad Mudassar and
                  Kartikay Garg and
                  Tushar Krishna and
                  Hyesoon Kim},
  title        = {Performance Implications of NoCs on 3D-Stacked Memories: Insights
                  from the Hybrid Memory Cube},
  journal      = {CoRR},
  volume       = {abs/1707.05399},
  year         = {2017},
  url          = {http://arxiv.org/abs/1707.05399},
  eprinttype    = {arXiv},
  eprint       = {1707.05399},
  timestamp    = {Tue, 03 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/HadidiAYMGKK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1710-09517,
  author       = {Hyojong Kim and
                  Ramyad Hadidi and
                  Lifeng Nai and
                  Hyesoon Kim and
                  Nuwan Jayasena and
                  Yasuko Eckert and
                  Onur Kayiran and
                  Gabriel H. Loh},
  title        = {{CODA:} Enabling Co-location of Computation and Data for Near-Data
                  Processing},
  journal      = {CoRR},
  volume       = {abs/1710.09517},
  year         = {2017},
  url          = {http://arxiv.org/abs/1710.09517},
  eprinttype    = {arXiv},
  eprint       = {1710.09517},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1710-09517.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1710-10746,
  author       = {Pranith Kumar and
                  Prasun Gera and
                  Hyojong Kim and
                  Hyesoon Kim},
  title        = {Louvre: Light-weight Ordering Using Versioning for Release Consistency},
  journal      = {CoRR},
  volume       = {abs/1710.10746},
  year         = {2017},
  url          = {http://arxiv.org/abs/1710.10746},
  eprinttype    = {arXiv},
  eprint       = {1710.10746},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1710-10746.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/ReddiK16,
  author       = {Vijay Janapa Reddi and
                  Hyesoon Kim},
  title        = {On the Internet of Things},
  journal      = {{IEEE} Micro},
  volume       = {36},
  number       = {6},
  pages        = {5--7},
  year         = {2016},
  url          = {https://doi.org/10.1109/MM.2016.92},
  doi          = {10.1109/MM.2016.92},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/ReddiK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/memsys/KumarNK16,
  author       = {Pranith Kumar and
                  Lifeng Nai and
                  Hyesoon Kim},
  editor       = {Bruce L. Jacob},
  title        = {Analyzing Consistency Issues in {HMC} Atomics},
  booktitle    = {Proceedings of the Second International Symposium on Memory Systems,
                  {MEMSYS} 2016, Alexandria, VA, USA, October 3-6, 2016},
  pages        = {151--152},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2989081.2989104},
  doi          = {10.1145/2989081.2989104},
  timestamp    = {Fri, 13 Nov 2020 09:24:44 +0100},
  biburl       = {https://dblp.org/rec/conf/memsys/KumarNK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/0001SGKKP16,
  author       = {Sangho Lee and
                  Ming{-}Wei Shih and
                  Prasun Gera and
                  Taesoo Kim and
                  Hyesoon Kim and
                  Marcus Peinado},
  title        = {Inferring Fine-grained Control Flow Inside {SGX} Enclaves with Branch
                  Shadowing},
  journal      = {CoRR},
  volume       = {abs/1611.06952},
  year         = {2016},
  url          = {http://arxiv.org/abs/1611.06952},
  eprinttype    = {arXiv},
  eprint       = {1611.06952},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/0001SGKKP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/ManatungaLK15,
  author       = {Dilan Manatunga and
                  Joo Hwan Lee and
                  Hyesoon Kim},
  title        = {Hardware Support for Safe Execution of Native Client Applications},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {14},
  number       = {1},
  pages        = {37--40},
  year         = {2015},
  url          = {https://doi.org/10.1109/LCA.2014.2309601},
  doi          = {10.1109/LCA.2014.2309601},
  timestamp    = {Sun, 15 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cal/ManatungaLK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/KimLMKP15,
  author       = {Hyojong Kim and
                  Hong{-}Yeol Lim and
                  Dilan Manatunga and
                  Hyesoon Kim and
                  Gi{-}Ho Park},
  title        = {Accelerating Application Start-up with Nonvolatile Memory in Android
                  Systems},
  journal      = {{IEEE} Micro},
  volume       = {35},
  number       = {1},
  pages        = {15--25},
  year         = {2015},
  url          = {https://doi.org/10.1109/MM.2015.9},
  doi          = {10.1109/MM.2015.9},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/KimLMKP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/ManatungaKM15,
  author       = {Dilan Manatunga and
                  Hyesoon Kim and
                  Saibal Mukhopadhyay},
  title        = {{SP-CNN:} {A} Scalable and Programmable CNN-Based Accelerator},
  journal      = {{IEEE} Micro},
  volume       = {35},
  number       = {5},
  pages        = {42--50},
  year         = {2015},
  url          = {https://doi.org/10.1109/MM.2015.121},
  doi          = {10.1109/MM.2015.121},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/ManatungaKM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sp/LeeNKPK15,
  author       = {Joo Hwan Lee and
                  Nimit Nigania and
                  Hyesoon Kim and
                  Kaushik Patel and
                  Hyojong Kim},
  title        = {OpenCL Performance Evaluation on Modern Multicore CPUs},
  journal      = {Sci. Program.},
  volume       = {2015},
  pages        = {859491:1--859491:20},
  year         = {2015},
  url          = {https://doi.org/10.1155/2015/859491},
  doi          = {10.1155/2015/859491},
  timestamp    = {Thu, 30 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/sp/LeeNKPK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/Lakshminarayana15,
  author       = {Nagesh B. Lakshminarayana and
                  Hyesoon Kim},
  title        = {Block-Precise Processors: Low-Power Processors with Reduced Operand
                  Store Accesses and Result Broadcasts},
  journal      = {{IEEE} Trans. Computers},
  volume       = {64},
  number       = {11},
  pages        = {3102--3114},
  year         = {2015},
  url          = {https://doi.org/10.1109/TC.2015.2395436},
  doi          = {10.1109/TC.2015.2395436},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/Lakshminarayana15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/LeeWKA15,
  author       = {Jaekyu Lee and
                  Dong Hyuk Woo and
                  Hyesoon Kim and
                  Mani Azimi},
  title        = {{GREEN} Cache: Exploiting the Disciplined Memory Model of OpenCL on
                  GPUs},
  journal      = {{IEEE} Trans. Computers},
  volume       = {64},
  number       = {11},
  pages        = {3167--3180},
  year         = {2015},
  url          = {https://doi.org/10.1109/TC.2015.2395435},
  doi          = {10.1109/TC.2015.2395435},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/LeeWKA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/IEEEpact/LeeSK15,
  author       = {Joo Hwan Lee and
                  Jaewoong Sim and
                  Hyesoon Kim},
  title        = {BSSync: Processing Near Memory for Machine Learning Workloads with
                  Bounded Staleness Consistency Models},
  booktitle    = {2015 International Conference on Parallel Architectures and Compilation,
                  {PACT} 2015, San Francisco, CA, USA, October 18-21, 2015},
  pages        = {241--252},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/PACT.2015.42},
  doi          = {10.1109/PACT.2015.42},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/IEEEpact/LeeSK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/memsys/KerseyYK15,
  author       = {Chad D. Kersey and
                  Sudhakar Yalamanchili and
                  Hyesoon Kim},
  editor       = {Bruce L. Jacob},
  title        = {SIMT-based Logic Layers for Stacked {DRAM} Architectures: {A} Prototype},
  booktitle    = {Proceedings of the 2015 International Symposium on Memory Systems,
                  {MEMSYS} 2015, Washington DC, DC, USA, October 5-8, 2015},
  pages        = {29--30},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2818950.2818954},
  doi          = {10.1145/2818950.2818954},
  timestamp    = {Fri, 13 Nov 2020 09:24:44 +0100},
  biburl       = {https://dblp.org/rec/conf/memsys/KerseyYK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/memsys/NaiK15,
  author       = {Lifeng Nai and
                  Hyesoon Kim},
  editor       = {Bruce L. Jacob},
  title        = {Instruction Offloading with {HMC} 2.0 Standard: {A} Case Study for
                  Graph Traversals},
  booktitle    = {Proceedings of the 2015 International Symposium on Memory Systems,
                  {MEMSYS} 2015, Washington DC, DC, USA, October 5-8, 2015},
  pages        = {258--261},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2818950.2818982},
  doi          = {10.1145/2818950.2818982},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/memsys/NaiK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/memsys/KimKYR15,
  author       = {Hyojong Kim and
                  Hyesoon Kim and
                  Sudhakar Yalamanchili and
                  Arun F. Rodrigues},
  editor       = {Bruce L. Jacob},
  title        = {Understanding Energy Aspects of Processing-near-Memory for {HPC} Workloads},
  booktitle    = {Proceedings of the 2015 International Symposium on Memory Systems,
                  {MEMSYS} 2015, Washington DC, DC, USA, October 5-8, 2015},
  pages        = {276--282},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2818950.2818985},
  doi          = {10.1145/2818950.2818985},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/memsys/KimKYR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sc/NaiXTKL15,
  author       = {Lifeng Nai and
                  Yinglong Xia and
                  Ilie Gabriel Tanase and
                  Hyesoon Kim and
                  Ching{-}Yung Lin},
  editor       = {Jackie Kern and
                  Jeffrey S. Vetter},
  title        = {GraphBIG: understanding graph computing in the context of industrial
                  solutions},
  booktitle    = {Proceedings of the International Conference for High Performance Computing,
                  Networking, Storage and Analysis, {SC} 2015, Austin, TX, USA, November
                  15-20, 2015},
  pages        = {69:1--69:12},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2807591.2807626},
  doi          = {10.1145/2807591.2807626},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sc/NaiXTKL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/LimLKSYS14,
  author       = {Jieun Lim and
                  Nagesh B. Lakshminarayana and
                  Hyesoon Kim and
                  William J. Song and
                  Sudhakar Yalamanchili and
                  Wonyong Sung},
  title        = {Power Modeling for {GPU} Architectures Using McPAT},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {19},
  number       = {3},
  pages        = {26:1--26:24},
  year         = {2014},
  url          = {https://doi.org/10.1145/2611758},
  doi          = {10.1145/2611758},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/LimLKSYS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/KerseyYKNK14,
  author       = {Chad D. Kersey and
                  Sudhakar Yalamanchili and
                  Hyojong Kim and
                  Nimit Nigania and
                  Hyesoon Kim},
  title        = {Harmonica: An FPGA-Based Data Parallel Soft Core},
  booktitle    = {22nd {IEEE} Annual International Symposium on Field-Programmable Custom
                  Computing Machines, {FCCM} 2014, Boston, MA, USA, May 11-13, 2014},
  pages        = {171},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/FCCM.2014.53},
  doi          = {10.1109/FCCM.2014.53},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/KerseyYKNK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/LakshminarayanaK14,
  author       = {Nagesh B. Lakshminarayana and
                  Hyesoon Kim},
  title        = {Spare register aware prefetching for graph algorithms on GPUs},
  booktitle    = {20th {IEEE} International Symposium on High Performance Computer Architecture,
                  {HPCA} 2014, Orlando, FL, USA, February 15-19, 2014},
  pages        = {614--625},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/HPCA.2014.6835970},
  doi          = {10.1109/HPCA.2014.6835970},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hpca/LakshminarayanaK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/HuangNKL14,
  author       = {Jen{-}Cheng Huang and
                  Lifeng Nai and
                  Hyesoon Kim and
                  Hsien{-}Hsin S. Lee},
  title        = {TBPoint: Reducing Simulation Time for Large-Scale {GPGPU} Kernels},
  booktitle    = {2014 {IEEE} 28th International Parallel and Distributed Processing
                  Symposium, Phoenix, AZ, USA, May 19-23, 2014},
  pages        = {437--446},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/IPDPS.2014.53},
  doi          = {10.1109/IPDPS.2014.53},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/HuangNKL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/SimACWK14,
  author       = {Jaewoong Sim and
                  Alaa R. Alameldeen and
                  Zeshan Chishti and
                  Chris Wilkerson and
                  Hyesoon Kim},
  title        = {Transparent Hardware Management of Stacked {DRAM} as Part of Memory},
  booktitle    = {47th Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  {MICRO} 2014, Cambridge, United Kingdom, December 13-17, 2014},
  pages        = {13--24},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MICRO.2014.56},
  doi          = {10.1109/MICRO.2014.56},
  timestamp    = {Tue, 31 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/SimACWK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/HuangLKL14,
  author       = {Jen{-}Cheng Huang and
                  Joo Hwan Lee and
                  Hyesoon Kim and
                  Hsien{-}Hsin S. Lee},
  title        = {GPUMech: {GPU} Performance Modeling Technique Based on Interval Analysis},
  booktitle    = {47th Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  {MICRO} 2014, Cambridge, United Kingdom, December 13-17, 2014},
  pages        = {268--279},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MICRO.2014.59},
  doi          = {10.1109/MICRO.2014.59},
  timestamp    = {Tue, 31 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/HuangLKL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbac-pad/LimK14,
  author       = {Jieun Lim and
                  Hyesoon Kim},
  title        = {Design Space Exploration of Memory Model for Heterogeneous Computing},
  booktitle    = {26th {IEEE} International Symposium on Computer Architecture and High
                  Performance Computing, {SBAC-PAD} 2014, Paris, France, October 22-24,
                  2014},
  pages        = {160--167},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/SBAC-PAD.2014.9},
  doi          = {10.1109/SBAC-PAD.2014.9},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbac-pad/LimK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jpdc/LeeLKY13,
  author       = {Jaekyu Lee and
                  Si Li and
                  Hyesoon Kim and
                  Sudhakar Yalamanchili},
  title        = {Design space exploration of on-chip ring interconnection for a {CPU-GPU}
                  heterogeneous architecture},
  journal      = {J. Parallel Distributed Comput.},
  volume       = {73},
  number       = {12},
  pages        = {1525--1538},
  year         = {2013},
  url          = {https://doi.org/10.1016/j.jpdc.2013.07.014},
  doi          = {10.1016/J.JPDC.2013.07.014},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jpdc/LeeLKY13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/KimLKL13,
  author       = {Minjang Kim and
                  Nagesh B. Lakshminarayana and
                  Hyesoon Kim and
                  Chi{-}Keung Luk},
  title        = {{SD3:} An Efficient Dynamic Data-Dependence Profiling Mechanism},
  journal      = {{IEEE} Trans. Computers},
  volume       = {62},
  number       = {12},
  pages        = {2516--2530},
  year         = {2013},
  url          = {https://doi.org/10.1109/TC.2012.182},
  doi          = {10.1109/TC.2012.182},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/KimLKL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/LeeLKY13,
  author       = {Jaekyu Lee and
                  Si Li and
                  Hyesoon Kim and
                  Sudhakar Yalamanchili},
  title        = {Adaptive virtual channel partitioning for network-on-chip in heterogeneous
                  architectures},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {18},
  number       = {4},
  pages        = {48:1--48:28},
  year         = {2013},
  url          = {https://doi.org/10.1145/2504906},
  doi          = {10.1145/2504906},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/LeeLKY13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/LeePNKK13,
  author       = {Joo Hwan Lee and
                  Kaushik Patel and
                  Nimit Nigania and
                  Hyojong Kim and
                  Hyesoon Kim},
  title        = {OpenCL Performance Evaluation on Modern Multi Core CPUs},
  booktitle    = {2013 {IEEE} International Symposium on Parallel {\&} Distributed
                  Processing, Workshops and Phd Forum, Cambridge, MA, USA, May 20-24,
                  2013},
  pages        = {1177--1185},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/IPDPSW.2013.141},
  doi          = {10.1109/IPDPSW.2013.141},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/LeePNKK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/BrettKKK13,
  author       = {Bevin Brett and
                  Pranith Kumar and
                  Minjang Kim and
                  Hyesoon Kim},
  title        = {CHiP: {A} Profiler to Measure the Effect of Cache Contention on Scalability},
  booktitle    = {2013 {IEEE} International Symposium on Parallel {\&} Distributed
                  Processing, Workshops and Phd Forum, Cambridge, MA, USA, May 20-24,
                  2013},
  pages        = {1565--1574},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/IPDPSW.2013.49},
  doi          = {10.1109/IPDPSW.2013.49},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/BrettKKK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sc/LeeMK13,
  author       = {Joo Hwan Lee and
                  Jiayuan Meng and
                  Hyesoon Kim},
  editor       = {Stephen A. Jarvis and
                  Steven A. Wright and
                  Simon D. Hammond},
  title        = {{SESH} Framework: {A} Space Exploration Framework for {GPU} Application
                  and Hardware Codesign},
  booktitle    = {High Performance Computing Systems. Performance Modeling, Benchmarking
                  and Simulation - 4th International Workshop, {PMBS} 2013, Denver,
                  CO, USA, November 18, 2013. Revised Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {8551},
  pages        = {182--202},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-319-10214-6\_9},
  doi          = {10.1007/978-3-319-10214-6\_9},
  timestamp    = {Wed, 19 May 2021 08:31:38 +0200},
  biburl       = {https://dblp.org/rec/conf/sc/LeeMK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@book{DBLP:series/synthesis/2012Kim,
  author       = {Hyesoon Kim and
                  Richard W. Vuduc and
                  Sara S. Baghsorkhi and
                  JeeWhan Choi and
                  Wen{-}mei W. Hwu},
  title        = {Performance Analysis and Tuning for General Purpose Graphics Processing
                  Units {(GPGPU)}},
  series       = {Synthesis Lectures on Computer Architecture},
  publisher    = {Morgan {\&} Claypool Publishers},
  year         = {2012},
  url          = {https://doi.org/10.2200/S00451ED1V01Y201209CAC020},
  doi          = {10.2200/S00451ED1V01Y201209CAC020},
  isbn         = {978-3-031-00609-8},
  timestamp    = {Thu, 19 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/series/synthesis/2012Kim.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/LakshminarayanaLKS12,
  author       = {Nagesh B. Lakshminarayana and
                  Jaekyu Lee and
                  Hyesoon Kim and
                  Jinwoo Shin},
  title        = {{DRAM} Scheduling Policy for {GPGPU} Architectures Based on a Potential
                  Function},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {11},
  number       = {2},
  pages        = {33--36},
  year         = {2012},
  url          = {https://doi.org/10.1109/L-CA.2011.32},
  doi          = {10.1109/L-CA.2011.32},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cal/LakshminarayanaLKS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/taco/LeeKV12,
  author       = {Jaekyu Lee and
                  Hyesoon Kim and
                  Richard W. Vuduc},
  title        = {When Prefetching Works, When It Doesn't, and Why},
  journal      = {{ACM} Trans. Archit. Code Optim.},
  volume       = {9},
  number       = {1},
  pages        = {2:1--2:29},
  year         = {2012},
  url          = {https://doi.org/10.1145/2133382.2133384},
  doi          = {10.1145/2133382.2133384},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/taco/LeeKV12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/LeeK12,
  author       = {Jaekyu Lee and
                  Hyesoon Kim},
  title        = {{TAP:} {A} TLP-aware cache management policy for a {CPU-GPU} heterogeneous
                  architecture},
  booktitle    = {18th {IEEE} International Symposium on High Performance Computer Architecture,
                  {HPCA} 2012, New Orleans, LA, USA, 25-29 February, 2012},
  pages        = {91--102},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/HPCA.2012.6168947},
  doi          = {10.1109/HPCA.2012.6168947},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hpca/LeeK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/KimKKB12,
  author       = {Minjang Kim and
                  Pranith Kumar and
                  Hyesoon Kim and
                  Bevin Brett},
  title        = {Predicting Potential Speedup of Serial Code via Lightweight Profiling
                  and Emulations with Memory Performance Model},
  booktitle    = {26th {IEEE} International Parallel and Distributed Processing Symposium,
                  {IPDPS} 2012, Shanghai, China, May 21-25, 2012},
  pages        = {1318--1329},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/IPDPS.2012.128},
  doi          = {10.1109/IPDPS.2012.128},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/KimKKB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/SimLQK12,
  author       = {Jaewoong Sim and
                  Jaekyu Lee and
                  Moinuddin K. Qureshi and
                  Hyesoon Kim},
  title        = {FLEXclusion: Balancing cache capacity and on-chip bandwidth via Flexible
                  Exclusion},
  booktitle    = {39th International Symposium on Computer Architecture {(ISCA} 2012),
                  June 9-13, 2012, Portland, OR, {USA}},
  pages        = {321--332},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISCA.2012.6237028},
  doi          = {10.1109/ISCA.2012.6237028},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isca/SimLQK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/SimLKOT12,
  author       = {Jaewoong Sim and
                  Gabriel H. Loh and
                  Hyesoon Kim and
                  Mike O'Connor and
                  Mithuna Thottethodi},
  title        = {A Mostly-Clean {DRAM} Cache for Effective Hit Speculation and Self-Balancing
                  Dispatch},
  booktitle    = {45th Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  {MICRO} 2012, Vancouver, BC, Canada, December 1-5, 2012},
  pages        = {247--257},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MICRO.2012.31},
  doi          = {10.1109/MICRO.2012.31},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/SimLKOT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/pldi/Kim12,
  author       = {Hyesoon Kim},
  editor       = {Lixin Zhang and
                  Onur Mutlu},
  title        = {Supporting virtual memory in {GPGPU} without supporting precise exceptions},
  booktitle    = {Proceedings of the 2012 {ACM} {SIGPLAN} workshop on Memory Systems
                  Performance and Correctness: held in conjunction with {PLDI} '12,
                  Beijing, China, June 16, 2012},
  pages        = {70--71},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2247684.2247698},
  doi          = {10.1145/2247684.2247698},
  timestamp    = {Mon, 12 Jul 2021 15:34:15 +0200},
  biburl       = {https://dblp.org/rec/conf/pldi/Kim12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/pldi/LimK12,
  author       = {Jieun Lim and
                  Hyesoon Kim},
  editor       = {Lixin Zhang and
                  Onur Mutlu},
  title        = {Design space exploration of memory model for heterogeneous computing},
  booktitle    = {Proceedings of the 2012 {ACM} {SIGPLAN} workshop on Memory Systems
                  Performance and Correctness: held in conjunction with {PLDI} '12,
                  Beijing, China, June 16, 2012},
  pages        = {74--75},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2247684.2247700},
  doi          = {10.1145/2247684.2247700},
  timestamp    = {Fri, 19 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/pldi/LimK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ppopp/SimDKV12,
  author       = {Jaewoong Sim and
                  Aniruddha Dasgupta and
                  Hyesoon Kim and
                  Richard W. Vuduc},
  editor       = {J. Ramanujam and
                  P. Sadayappan},
  title        = {A performance analysis framework for identifying potential benefits
                  in {GPGPU} applications},
  booktitle    = {Proceedings of the 17th {ACM} {SIGPLAN} Symposium on Principles and
                  Practice of Parallel Programming, {PPOPP} 2012, New Orleans, LA, USA,
                  February 25-29, 2012},
  pages        = {11--22},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2145816.2145819},
  doi          = {10.1145/2145816.2145819},
  timestamp    = {Sun, 12 Jun 2022 19:46:08 +0200},
  biburl       = {https://dblp.org/rec/conf/ppopp/SimDKV12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/LeeWK10,
  author       = {Dongwon Lee and
                  Marilyn Wolf and
                  Hyesoon Kim},
  editor       = {Vinod Kathail and
                  Reid Tatge and
                  Rajeev Barua},
  title        = {Design space exploration of the turbo decoding algorithm on GPUs},
  booktitle    = {Proceedings of the 2010 International Conference on Compilers, Architecture,
                  and Synthesis for Embedded Systems, {CASES} 2010, Scottsdale, AZ,
                  USA, October 24-29, 2010},
  pages        = {217--226},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1878921.1878953},
  doi          = {10.1145/1878921.1878953},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/LeeWK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/HongK10,
  author       = {Sunpyo Hong and
                  Hyesoon Kim},
  editor       = {Andr{\'{e}} Seznec and
                  Uri C. Weiser and
                  Ronny Ronen},
  title        = {An integrated {GPU} power and performance model},
  booktitle    = {37th International Symposium on Computer Architecture {(ISCA} 2010),
                  June 19-23, 2010, Saint-Malo, France},
  pages        = {280--289},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1815961.1815998},
  doi          = {10.1145/1815961.1815998},
  timestamp    = {Fri, 09 Jul 2021 15:51:20 +0200},
  biburl       = {https://dblp.org/rec/conf/isca/HongK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/LeeLKV10,
  author       = {Jaekyu Lee and
                  Nagesh B. Lakshminarayana and
                  Hyesoon Kim and
                  Richard W. Vuduc},
  title        = {Many-Thread Aware Prefetching Mechanisms for {GPGPU} Applications},
  booktitle    = {43rd Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  {MICRO} 2010, 4-8 December 2010, Atlanta, Georgia, {USA}},
  pages        = {213--224},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/MICRO.2010.44},
  doi          = {10.1109/MICRO.2010.44},
  timestamp    = {Tue, 31 May 2022 14:39:58 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/LeeLKV10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/KimKL10,
  author       = {Minjang Kim and
                  Hyesoon Kim and
                  Chi{-}Keung Luk},
  title        = {{SD3:} {A} Scalable Approach to Dynamic Data-Dependence Profiling},
  booktitle    = {43rd Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  {MICRO} 2010, 4-8 December 2010, Atlanta, Georgia, {USA}},
  pages        = {535--546},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/MICRO.2010.49},
  doi          = {10.1109/MICRO.2010.49},
  timestamp    = {Tue, 31 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/KimKL10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/KimJMLPC09,
  author       = {Hyesoon Kim and
                  Jos{\'{e}} A. Joao and
                  Onur Mutlu and
                  Chang Joo Lee and
                  Yale N. Patt and
                  Robert Cohn},
  title        = {Virtual Program Counter {(VPC)} Prediction: Very Low Cost Indirect
                  Branch Prediction Using Conditional Branch Prediction Hardware},
  journal      = {{IEEE} Trans. Computers},
  volume       = {58},
  number       = {9},
  pages        = {1153--1170},
  year         = {2009},
  url          = {https://doi.org/10.1109/TC.2008.227},
  doi          = {10.1109/TC.2008.227},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/KimJMLPC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/HongK09,
  author       = {Sunpyo Hong and
                  Hyesoon Kim},
  editor       = {Stephen W. Keckler and
                  Luiz Andr{\'{e}} Barroso},
  title        = {An analytical model for a {GPU} architecture with memory-level and
                  thread-level parallelism awareness},
  booktitle    = {36th International Symposium on Computer Architecture {(ISCA} 2009),
                  June 20-24, 2009, Austin, TX, {USA}},
  pages        = {152--163},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1555754.1555775},
  doi          = {10.1145/1555754.1555775},
  timestamp    = {Fri, 09 Jul 2021 15:51:20 +0200},
  biburl       = {https://dblp.org/rec/conf/isca/HongK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/LukHK09,
  author       = {Chi{-}Keung Luk and
                  Sunpyo Hong and
                  Hyesoon Kim},
  editor       = {David H. Albonesi and
                  Margaret Martonosi and
                  David I. August and
                  Jos{\'{e}} F. Mart{\'{\i}}nez},
  title        = {Qilin: exploiting parallelism on heterogeneous multiprocessors with
                  adaptive mapping},
  booktitle    = {42st Annual {IEEE/ACM} International Symposium on Microarchitecture
                  {(MICRO-42} 2009), December 12-16, 2009, New York, New York, {USA}},
  pages        = {45--55},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1669112.1669121},
  doi          = {10.1145/1669112.1669121},
  timestamp    = {Wed, 11 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/LukHK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sc/LakshminarayanaLK09,
  author       = {Nagesh B. Lakshminarayana and
                  Jaekyu Lee and
                  Hyesoon Kim},
  title        = {Age based scheduling for asymmetric multiprocessors},
  booktitle    = {Proceedings of the {ACM/IEEE} Conference on High Performance Computing,
                  {SC} 2009, November 14-20, 2009, Portland, Oregon, {USA}},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1654059.1654085},
  doi          = {10.1145/1654059.1654085},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sc/LakshminarayanaLK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/JoaoMKP07a,
  author       = {Jos{\'{e}} A. Joao and
                  Onur Mutlu and
                  Hyesoon Kim and
                  Yale N. Patt},
  title        = {Dynamic Predication of Indirect Jumps},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {7},
  number       = {1},
  pages        = {1--4},
  year         = {2008},
  url          = {https://doi.org/10.1109/L-CA.2008.2},
  doi          = {10.1109/L-CA.2008.2},
  timestamp    = {Sun, 15 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cal/JoaoMKP07a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asplos/JoaoMKAP08,
  author       = {Jos{\'{e}} A. Joao and
                  Onur Mutlu and
                  Hyesoon Kim and
                  Rishi Agarwal and
                  Yale N. Patt},
  editor       = {Susan J. Eggers and
                  James R. Larus},
  title        = {Improving the performance of object-oriented languages with dynamic
                  predication of indirect jumps},
  booktitle    = {Proceedings of the 13th International Conference on Architectural
                  Support for Programming Languages and Operating Systems, {ASPLOS}
                  2008, Seattle, WA, USA, March 1-5, 2008},
  pages        = {80--90},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1346281.1346293},
  doi          = {10.1145/1346281.1346293},
  timestamp    = {Wed, 07 Jul 2021 13:23:08 +0200},
  biburl       = {https://dblp.org/rec/conf/asplos/JoaoMKAP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/LeeKMP08,
  author       = {Chang Joo Lee and
                  Hyesoon Kim and
                  Onur Mutlu and
                  Yale N. Patt},
  title        = {Performance-aware speculation control using wrong path usefulness
                  prediction},
  booktitle    = {14th International Conference on High-Performance Computer Architecture
                  {(HPCA-14} 2008), 16-20 February 2008, Salt Lake City, UT, {USA}},
  pages        = {39--49},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/HPCA.2008.4658626},
  doi          = {10.1109/HPCA.2008.4658626},
  timestamp    = {Wed, 16 Oct 2019 14:14:50 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/LeeKMP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LakshminarayanaK08,
  author       = {Nagesh B. Lakshminarayana and
                  Hyesoon Kim},
  title        = {Understanding performance, power and energy behavior in asymmetric
                  multiprocessors},
  booktitle    = {26th International Conference on Computer Design, {ICCD} 2008, 12-15
                  October 2008, Lake Tahoe, CA, USA, Proceedings},
  pages        = {471--477},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ICCD.2008.4751903},
  doi          = {10.1109/ICCD.2008.4751903},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LakshminarayanaK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/JoaoMKP07,
  author       = {Jos{\'{e}} A. Joao and
                  Onur Mutlu and
                  Hyesoon Kim and
                  Yale N. Patt},
  title        = {Dynamic Predication of Indirect Jumps},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {6},
  number       = {2},
  pages        = {25--28},
  year         = {2007},
  url          = {https://doi.org/10.1109/L-CA.2007.7},
  doi          = {10.1109/L-CA.2007.7},
  timestamp    = {Sun, 15 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cal/JoaoMKP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/KimJMP07,
  author       = {Hyesoon Kim and
                  Jos{\'{e}} A. Joao and
                  Onur Mutlu and
                  Yale N. Patt},
  title        = {Diverge-Merge Processor: Generalized and Energy-Efficient Dynamic
                  Predication},
  journal      = {{IEEE} Micro},
  volume       = {27},
  number       = {1},
  pages        = {94--104},
  year         = {2007},
  url          = {https://doi.org/10.1109/MM.2007.9},
  doi          = {10.1109/MM.2007.9},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/KimJMP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cgo/KimJMP07,
  author       = {Hyesoon Kim and
                  Jos{\'{e}} A. Joao and
                  Onur Mutlu and
                  Yale N. Patt},
  title        = {Profile-assisted Compiler Support for Dynamic Predication in Diverge-Merge
                  Processors},
  booktitle    = {Fifth International Symposium on Code Generation and Optimization
                  {(CGO} 2007), 11-14 March 2007, San Jose, California, {USA}},
  pages        = {367--378},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/CGO.2007.31},
  doi          = {10.1109/CGO.2007.31},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cgo/KimJMP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/SrinathMKP07,
  author       = {Santhosh Srinath and
                  Onur Mutlu and
                  Hyesoon Kim and
                  Yale N. Patt},
  title        = {Feedback Directed Prefetching: Improving the Performance and Bandwidth-Efficiency
                  of Hardware Prefetchers},
  booktitle    = {13st International Conference on High-Performance Computer Architecture
                  {(HPCA-13} 2007), 10-14 February 2007, Phoenix, Arizona, {USA}},
  pages        = {63--74},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/HPCA.2007.346185},
  doi          = {10.1109/HPCA.2007.346185},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hpca/SrinathMKP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/KimJMLPC07,
  author       = {Hyesoon Kim and
                  Jos{\'{e}} A. Joao and
                  Onur Mutlu and
                  Chang Joo Lee and
                  Yale N. Patt and
                  Robert Cohn},
  editor       = {Dean M. Tullsen and
                  Brad Calder},
  title        = {{VPC} prediction: reducing the cost of indirect branches via hardware-based
                  dynamic devirtualization},
  booktitle    = {34th International Symposium on Computer Architecture {(ISCA} 2007),
                  June 9-13, 2007, San Diego, California, {USA}},
  pages        = {424--435},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1250662.1250715},
  doi          = {10.1145/1250662.1250715},
  timestamp    = {Fri, 09 Jul 2021 15:51:20 +0200},
  biburl       = {https://dblp.org/rec/conf/isca/KimJMLPC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/MutluKP06,
  author       = {Onur Mutlu and
                  Hyesoon Kim and
                  Yale N. Patt},
  title        = {Efficient Runahead Execution: Power-Efficient Memory Latency Tolerance},
  journal      = {{IEEE} Micro},
  volume       = {26},
  number       = {1},
  pages        = {10--20},
  year         = {2006},
  url          = {https://doi.org/10.1109/MM.2006.10},
  doi          = {10.1109/MM.2006.10},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/MutluKP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/KimMPS06,
  author       = {Hyesoon Kim and
                  Onur Mutlu and
                  Yale N. Patt and
                  Jared Stark},
  title        = {Wish Branches: Enabling Adaptive and Aggressive Predicated Execution},
  journal      = {{IEEE} Micro},
  volume       = {26},
  number       = {1},
  pages        = {48--58},
  year         = {2006},
  url          = {https://doi.org/10.1109/MM.2006.27},
  doi          = {10.1109/MM.2006.27},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/KimMPS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/MutluKP06,
  author       = {Onur Mutlu and
                  Hyesoon Kim and
                  Yale N. Patt},
  title        = {Address-Value Delta {(AVD)} Prediction: {A} Hardware Technique for
                  Efficiently Parallelizing Dependent Cache Misses},
  journal      = {{IEEE} Trans. Computers},
  volume       = {55},
  number       = {12},
  pages        = {1491--1508},
  year         = {2006},
  url          = {https://doi.org/10.1109/TC.2006.191},
  doi          = {10.1109/TC.2006.191},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/MutluKP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cgo/KimSMP06,
  author       = {Hyesoon Kim and
                  M. Aater Suleman and
                  Onur Mutlu and
                  Yale N. Patt},
  title        = {2D-Profiling: Detecting Input-Dependent Branches with a Single Input
                  Data Set},
  booktitle    = {Fourth {IEEE/ACM} International Symposium on Code Generation and Optimization
                  {(CGO} 2006), 26-29 March 2006, New York, New York, {USA}},
  pages        = {159--172},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/CGO.2006.1},
  doi          = {10.1109/CGO.2006.1},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cgo/KimSMP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/KimJMP06,
  author       = {Hyesoon Kim and
                  Jos{\'{e}} A. Joao and
                  Onur Mutlu and
                  Yale N. Patt},
  title        = {Diverge-Merge Processor {(DMP):} Dynamic Predicated Execution of Complex
                  Control-Flow Graphs Based on Frequently Executed Paths},
  booktitle    = {39th Annual {IEEE/ACM} International Symposium on Microarchitecture
                  {(MICRO-39} 2006), 9-13 December 2006, Orlando, Florida, {USA}},
  pages        = {53--64},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/MICRO.2006.20},
  doi          = {10.1109/MICRO.2006.20},
  timestamp    = {Tue, 31 May 2022 14:39:58 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/KimJMP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/MutluKSP05,
  author       = {Onur Mutlu and
                  Hyesoon Kim and
                  Jared Stark and
                  Yale N. Patt},
  title        = {On Reusing the Results of Pre-Executed Instructions in a Runahead
                  Execution Processor},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {4},
  number       = {1},
  pages        = {2},
  year         = {2005},
  url          = {https://doi.org/10.1109/L-CA.2005.1},
  doi          = {10.1109/L-CA.2005.1},
  timestamp    = {Sun, 15 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cal/MutluKSP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijpp/MutluKAP05,
  author       = {Onur Mutlu and
                  Hyesoon Kim and
                  David N. Armstrong and
                  Yale N. Patt},
  title        = {Using the First-Level Caches as Filters to Reduce the Pollution Caused
                  by Speculative Memory References},
  journal      = {Int. J. Parallel Program.},
  volume       = {33},
  number       = {5},
  pages        = {529--559},
  year         = {2005},
  url          = {https://doi.org/10.1007/s10766-005-7304-x},
  doi          = {10.1007/S10766-005-7304-X},
  timestamp    = {Wed, 01 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijpp/MutluKAP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/MutluKAP05,
  author       = {Onur Mutlu and
                  Hyesoon Kim and
                  David N. Armstrong and
                  Yale N. Patt},
  title        = {An Analysis of the Performance Impact of Wrong-Path Memory References
                  on Out-of-Order and Runahead Execution Processors},
  journal      = {{IEEE} Trans. Computers},
  volume       = {54},
  number       = {12},
  pages        = {1556--1571},
  year         = {2005},
  url          = {https://doi.org/10.1109/TC.2005.190},
  doi          = {10.1109/TC.2005.190},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/MutluKAP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/MutluKP05,
  author       = {Onur Mutlu and
                  Hyesoon Kim and
                  Yale N. Patt},
  title        = {Techniques for Efficient Processing in Runahead Execution Engines},
  booktitle    = {32st International Symposium on Computer Architecture {(ISCA} 2005),
                  4-8 June 2005, Madison, Wisconsin, {USA}},
  pages        = {370--381},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCA.2005.49},
  doi          = {10.1109/ISCA.2005.49},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isca/MutluKP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/KimMSP05,
  author       = {Hyesoon Kim and
                  Onur Mutlu and
                  Jared Stark and
                  Yale N. Patt},
  title        = {Wish Branches: Combining Conditional Branching and Predication for
                  Adaptive Predicated Execution},
  booktitle    = {38th Annual {IEEE/ACM} International Symposium on Microarchitecture
                  {(MICRO-38} 2005), 12-16 November 2005, Barcelona, Spain},
  pages        = {43--54},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/MICRO.2005.38},
  doi          = {10.1109/MICRO.2005.38},
  timestamp    = {Tue, 31 May 2022 14:39:58 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/KimMSP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/MutluKP05,
  author       = {Onur Mutlu and
                  Hyesoon Kim and
                  Yale N. Patt},
  title        = {Address-Value Delta {(AVD)} Prediction: Increasing the Effectiveness
                  of Runahead Execution by Exploiting Regular Memory Allocation Patterns},
  booktitle    = {38th Annual {IEEE/ACM} International Symposium on Microarchitecture
                  {(MICRO-38} 2005), 12-16 November 2005, Barcelona, Spain},
  pages        = {233--244},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/MICRO.2005.11},
  doi          = {10.1109/MICRO.2005.11},
  timestamp    = {Tue, 31 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/MutluKP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/ArmstrongKMP04,
  author       = {David N. Armstrong and
                  Hyesoon Kim and
                  Onur Mutlu and
                  Yale N. Patt},
  title        = {Wrong Path Events: Exploiting Unusual and Illegal Program Behavior
                  for Early Misprediction Detection and Recovery},
  booktitle    = {37th Annual International Symposium on Microarchitecture {(MICRO-37}
                  2004), 4-8 December 2004, Portland, OR, {USA}},
  pages        = {119--128},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/MICRO.2004.38},
  doi          = {10.1109/MICRO.2004.38},
  timestamp    = {Tue, 31 May 2022 14:39:58 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/ArmstrongKMP04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbac-pad/MutluKAP04,
  author       = {Onur Mutlu and
                  Hyesoon Kim and
                  David N. Armstrong and
                  Yale N. Patt},
  title        = {Cache Filtering Techniques to Reduce the Negative Impact of Useless
                  Speculative Memory References on Processor Performance},
  booktitle    = {16th Symposium on Computer Architecture and High Performance Computing
                  {(SBAC-PAD} 2004), 27-29 October 2004, Foz do Iguacu, Brazil},
  pages        = {2--9},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/SBAC-PAD.2004.11},
  doi          = {10.1109/SBAC-PAD.2004.11},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbac-pad/MutluKAP04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/wmpi/MutluKAP04,
  author       = {Onur Mutlu and
                  Hyesoon Kim and
                  David N. Armstrong and
                  Yale N. Patt},
  editor       = {John B. Carter and
                  Lixin Zhang},
  title        = {Understanding the effects of wrong-path memory references on processor
                  performance},
  booktitle    = {Proceedings of the 3rd Workshop on Memory Performance Issues, in conjunction
                  with the 31st International Symposium on Computer Architecture 2004,
                  Munich, Germany, June 20, 2004},
  pages        = {56--64},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1054943.1054951},
  doi          = {10.1145/1054943.1054951},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/wmpi/MutluKAP04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics