BibTeX records: Sunil P. Khatri

download as .bib file

@article{DBLP:journals/tcad/WagleSKV24,
  author       = {Ankit Wagle and
                  Gian Singh and
                  Sunil P. Khatri and
                  Sarma B. K. Vrudhula},
  title        = {An {ASIC} Accelerator for {QNN} With Variable Precision and Tunable
                  Energy Efficiency},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {43},
  number       = {7},
  pages        = {2057--2070},
  year         = {2024},
  url          = {https://doi.org/10.1109/TCAD.2024.3357597},
  doi          = {10.1109/TCAD.2024.3357597},
  timestamp    = {Fri, 19 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/WagleSKV24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasI/ScottLKV24,
  author       = {Kyler R. Scott and
                  Cheng{-}Yen Lee and
                  Sunil P. Khatri and
                  Sarma B. K. Vrudhula},
  title        = {A Mixed-Signal Quantized Neural Network Accelerator Using Flash Transistors},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {71},
  number       = {3},
  pages        = {1025--1038},
  year         = {2024},
  url          = {https://doi.org/10.1109/TCSI.2023.3336248},
  doi          = {10.1109/TCSI.2023.3336248},
  timestamp    = {Sat, 16 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcasI/ScottLKV24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/LeeK23,
  author       = {Cheng{-}Yen Lee and
                  Sunil P. Khatri},
  title        = {A Digital Low Dropout {(LDO)} Voltage Regulator Using Pseudoflash
                  Transistors},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {31},
  number       = {12},
  pages        = {1960--1969},
  year         = {2023},
  url          = {https://doi.org/10.1109/TVLSI.2023.3317414},
  doi          = {10.1109/TVLSI.2023.3317414},
  timestamp    = {Sun, 10 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/LeeK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/commnet/LeeSKG23,
  author       = {Cheng{-}Yen Lee and
                  Kyler R. Scott and
                  Sunil P. Khatri and
                  Ali Ghrayeb},
  title        = {A Hardware Validation Framework for a Networked Dynamic Multi-factor
                  Security Protocol},
  booktitle    = {6th International Conference on Advanced Communication Technologies
                  and Networking, CommNet 2023, Rabat, Morocco, December 11-13, 2023},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/CommNet60167.2023.10365286},
  doi          = {10.1109/COMMNET60167.2023.10365286},
  timestamp    = {Thu, 18 Jan 2024 08:27:09 +0100},
  biburl       = {https://dblp.org/rec/conf/commnet/LeeSKG23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ScottK23,
  author       = {Kyler R. Scott and
                  Sunil P. Khatri},
  title        = {An Extremely Low-voltage Floating Gate Artificial Neuron},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023,
                  Monterey, CA, USA, May 21-25, 2023},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISCAS46773.2023.10182158},
  doi          = {10.1109/ISCAS46773.2023.10182158},
  timestamp    = {Mon, 31 Jul 2023 09:04:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ScottK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BharathiKH23,
  author       = {Kunal Bharathi and
                  Sunil P. Khatri and
                  Jiang Hu},
  title        = {Scaled Population Division for Approximate Computing},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2023, Vienna, Austria, August 7-8, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISLPED58423.2023.10244709},
  doi          = {10.1109/ISLPED58423.2023.10244709},
  timestamp    = {Mon, 25 Sep 2023 14:10:09 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/BharathiKH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LeeKV23,
  author       = {Cheng{-}Yen Lee and
                  Sunil P. Khatri and
                  Sarma B. K. Vrudhula},
  title        = {A Novel Pseudo-Flash Based Digital Low Dropout {(LDO)} Voltage Regulator},
  booktitle    = {24th International Symposium on Quality Electronic Design, {ISQED}
                  2023, San Francisco, CA, USA, April 5-7, 2023},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISQED57927.2023.10129385},
  doi          = {10.1109/ISQED57927.2023.10129385},
  timestamp    = {Thu, 01 Jun 2023 22:29:52 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/LeeKV23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasI/WagleSKV22,
  author       = {Ankit Wagle and
                  Gian Singh and
                  Sunil P. Khatri and
                  Sarma B. K. Vrudhula},
  title        = {A Novel {ASIC} Design Flow Using Weight-Tunable Binary Neurons as
                  Standard Cells},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {69},
  number       = {7},
  pages        = {2968--2981},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCSI.2022.3164995},
  doi          = {10.1109/TCSI.2022.3164995},
  timestamp    = {Mon, 25 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcasI/WagleSKV22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ScottLKV22,
  author       = {Kyler R. Scott and
                  Cheng{-}Yen Lee and
                  Sunil P. Khatri and
                  Sarma B. K. Vrudhula},
  editor       = {Cristiana Bolchini and
                  Ingrid Verbauwhede and
                  Ioana Vatajelu},
  title        = {A Flash-based Current-mode {IC} to Realize Quantized Neural Networks},
  booktitle    = {2022 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022},
  pages        = {1029--1034},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.23919/DATE54114.2022.9774539},
  doi          = {10.23919/DATE54114.2022.9774539},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/ScottLKV22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/HuHK22,
  author       = {Chan{-}Wei Hu and
                  Jiang Hu and
                  Sunil P. Khatri},
  title        = {TD3lite: {FPGA} Acceleration of Reinforcement Learning with Structural
                  and Representation Optimizations},
  booktitle    = {32nd International Conference on Field-Programmable Logic and Applications,
                  {FPL} 2022, Belfast, United Kingdom, August 29 - Sept. 2, 2022},
  pages        = {79--85},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/FPL57034.2022.00023},
  doi          = {10.1109/FPL57034.2022.00023},
  timestamp    = {Mon, 20 Feb 2023 17:38:16 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/HuHK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ScottK22,
  author       = {Kyler R. Scott and
                  Sunil P. Khatri},
  title        = {A Flash-based Digital to Analog Converter for Low Power Applications},
  booktitle    = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022,
                  Olympic Valley, CA, USA, October 23-26, 2022},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ICCD56317.2022.00012},
  doi          = {10.1109/ICCD56317.2022.00012},
  timestamp    = {Sun, 17 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ScottK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2204-08070,
  author       = {Ankit Wagle and
                  Gian Singh and
                  Sunil P. Khatri and
                  Sarma B. K. Vrudhula},
  title        = {A Novel {ASIC} Design Flow using Weight-Tunable Binary Neurons as
                  Standard Cells},
  journal      = {CoRR},
  volume       = {abs/2204.08070},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2204.08070},
  doi          = {10.48550/ARXIV.2204.08070},
  eprinttype    = {arXiv},
  eprint       = {2204.08070},
  timestamp    = {Tue, 19 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2204-08070.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/FairouzAFK21,
  author       = {Abbas A. Fairouz and
                  Monther Abusultan and
                  Viacheslav V. Fedorov and
                  Sunil P. Khatri},
  title        = {Hardware Acceleration of Hash Operations in Modern Microprocessors},
  journal      = {{IEEE} Trans. Computers},
  volume       = {70},
  number       = {9},
  pages        = {1412--1426},
  year         = {2021},
  url          = {https://doi.org/10.1109/TC.2020.3010855},
  doi          = {10.1109/TC.2020.3010855},
  timestamp    = {Thu, 16 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/FairouzAFK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LeeBLK21,
  author       = {Cheng{-}Yen Lee and
                  Kunal Bharathi and
                  Joellen Lansford and
                  Sunil P. Khatri},
  title        = {NIST-Lite: Randomness Testing of RNGs on an Energy-Constrained Platform},
  booktitle    = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021,
                  Storrs, CT, USA, October 24-27, 2021},
  pages        = {41--48},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICCD53106.2021.00019},
  doi          = {10.1109/ICCD53106.2021.00019},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/LeeBLK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SinghWVK21,
  author       = {Gian Singh and
                  Ankit Wagle and
                  Sarma B. K. Vrudhula and
                  Sunil P. Khatri},
  title        = {{CIDAN:} Computing in {DRAM} with Artificial Neurons},
  booktitle    = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021,
                  Storrs, CT, USA, October 24-27, 2021},
  pages        = {349--356},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICCD53106.2021.00062},
  doi          = {10.1109/ICCD53106.2021.00062},
  timestamp    = {Tue, 28 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/SinghWVK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2104-01699,
  author       = {Ankit Wagle and
                  Sunil P. Khatri and
                  Sarma B. K. Vrudhula},
  title        = {A Configurable {BNN} {ASIC} using a Network of Programmable Threshold
                  Logic Standard Cells},
  journal      = {CoRR},
  volume       = {abs/2104.01699},
  year         = {2021},
  url          = {https://arxiv.org/abs/2104.01699},
  eprinttype    = {arXiv},
  eprint       = {2104.01699},
  timestamp    = {Fri, 13 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2104-01699.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2112-00117,
  author       = {Gian Singh and
                  Ankit Wagle and
                  Sarma B. K. Vrudhula and
                  Sunil P. Khatri},
  title        = {{CIDAN:} Computing in {DRAM} with Artificial Neurons},
  journal      = {CoRR},
  volume       = {abs/2112.00117},
  year         = {2021},
  url          = {https://arxiv.org/abs/2112.00117},
  eprinttype    = {arXiv},
  eprint       = {2112.00117},
  timestamp    = {Tue, 07 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2112-00117.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZhouKHL20,
  author       = {He Zhou and
                  Sunil P. Khatri and
                  Jiang Hu and
                  Frank Liu},
  title        = {Scaled Population Arithmetic for Efficient Stochastic Computing},
  booktitle    = {25th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2020, Beijing, China, January 13-16, 2020},
  pages        = {611--616},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ASP-DAC47756.2020.9045292},
  doi          = {10.1109/ASP-DAC47756.2020.9045292},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZhouKHL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/BharathiHK20,
  author       = {Kunal Bharathi and
                  Jiang Hu and
                  Sunil P. Khatri},
  title        = {Scaled Population Subtraction for Approximate Computing},
  booktitle    = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020,
                  Hartford, CT, USA, October 18-21, 2020},
  pages        = {348--355},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ICCD50377.2020.00065},
  doi          = {10.1109/ICCD50377.2020.00065},
  timestamp    = {Mon, 11 Jan 2021 13:35:27 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/BharathiHK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WagleKV20,
  author       = {Ankit Wagle and
                  Sunil P. Khatri and
                  Sarma B. K. Vrudhula},
  title        = {A Configurable {BNN} {ASIC} using a Network of Programmable Threshold
                  Logic Standard Cells},
  booktitle    = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020,
                  Hartford, CT, USA, October 18-21, 2020},
  pages        = {433--440},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ICCD50377.2020.00079},
  doi          = {10.1109/ICCD50377.2020.00079},
  timestamp    = {Fri, 13 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/WagleKV20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icict2/BiswasPK20,
  author       = {Prasenjit Biswas and
                  Sarit Pal and
                  Sunil P. Khatri},
  title        = {A Mathematical Framework for Exploring Protein Folding Dynamics using
                  Probabilistic Model Checking},
  booktitle    = {3rd International Conference on Information and Computer Technologies,
                  {ICICT} 2020, San Jose, CA, USA, March 9-12, 2020},
  pages        = {114--123},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ICICT50521.2020.00026},
  doi          = {10.1109/ICICT50521.2020.00026},
  timestamp    = {Fri, 13 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icict2/BiswasPK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/AzariWKV20,
  author       = {Elham Azari and
                  Ankit Wagle and
                  Sunil P. Khatri and
                  Sarma B. K. Vrudhula},
  title        = {A Statistical Methodology for Post-Fabrication Weight Tuning in a
                  Binary Perceptron},
  booktitle    = {21st International Symposium on Quality Electronic Design, {ISQED}
                  2020, Santa Clara, CA, USA, March 25-26, 2020},
  pages        = {141--148},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISQED48828.2020.9136979},
  doi          = {10.1109/ISQED48828.2020.9136979},
  timestamp    = {Fri, 13 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/AzariWKV20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/DouglassK19,
  author       = {Andrew J. Douglass and
                  Sunil P. Khatri},
  title        = {Fast, Ring-Based Design of 3-D Stacked {DRAM}},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {27},
  number       = {8},
  pages        = {1731--1741},
  year         = {2019},
  url          = {https://doi.org/10.1109/TVLSI.2019.2892740},
  doi          = {10.1109/TVLSI.2019.2892740},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/DouglassK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ZhouKHL19,
  author       = {He Zhou and
                  Sunil P. Khatri and
                  Jiang Hu and
                  Frank Liu},
  title        = {A Memory-Efficient Markov Decision Process Computation Framework Using
                  BDD-based Sampling Representation},
  booktitle    = {Proceedings of the 56th Annual Design Automation Conference 2019,
                  {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019},
  pages        = {12},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3316781.3317748},
  doi          = {10.1145/3316781.3317748},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/ZhouKHL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WagleSYKV19,
  author       = {Ankit Wagle and
                  Gian Singh and
                  Jinghua Yang and
                  Sunil P. Khatri and
                  Sarma B. K. Vrudhula},
  title        = {Threshold Logic in a Flash},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {550--558},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00081},
  doi          = {10.1109/ICCD46524.2019.00081},
  timestamp    = {Fri, 13 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/WagleSYKV19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1910-04910,
  author       = {Ankit Wagle and
                  Gian Singh and
                  Jinghua Yang and
                  Sunil P. Khatri and
                  Sarma B. K. Vrudhula},
  title        = {Threshold Logic in a Flash},
  journal      = {CoRR},
  volume       = {abs/1910.04910},
  year         = {2019},
  url          = {http://arxiv.org/abs/1910.04910},
  eprinttype    = {arXiv},
  eprint       = {1910.04910},
  timestamp    = {Fri, 13 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1910-04910.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijcbdd/KawamKD18,
  author       = {Ahmad Al Kawam and
                  Sunil P. Khatri and
                  Aniruddha Datta},
  title        = {A {GPU-CPU} heterogeneous algorithm for {NGS} read alignment},
  journal      = {Int. J. Comput. Biol. Drug Des.},
  volume       = {11},
  number       = {1/2},
  pages        = {52--66},
  year         = {2018},
  url          = {https://doi.org/10.1504/IJCBDD.2018.10011906},
  doi          = {10.1504/IJCBDD.2018.10011906},
  timestamp    = {Sat, 25 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijcbdd/KawamKD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jolpe/FairouzAEK18,
  author       = {Abbas A. Fairouz and
                  Monther Abusultan and
                  Amr Elshennawy and
                  Sunil P. Khatri},
  title        = {Comparing Leakage Reduction Techniques for an Asynchronous Network-on-Chip
                  Router},
  journal      = {J. Low Power Electron.},
  volume       = {14},
  number       = {3},
  pages        = {414--427},
  year         = {2018},
  url          = {https://doi.org/10.1166/jolpe.2018.1571},
  doi          = {10.1166/JOLPE.2018.1571},
  timestamp    = {Fri, 13 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jolpe/FairouzAEK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/LoykaZK18,
  author       = {Kyle Loyka and
                  He Zhou and
                  Sunil P. Khatri},
  editor       = {Deming Chen and
                  Houman Homayoun and
                  Baris Taskin},
  title        = {A Homomorphic Encryption Scheme Based on Affine Transforms},
  booktitle    = {Proceedings of the 2018 on Great Lakes Symposium on VLSI, {GLSVLSI}
                  2018, Chicago, IL, USA, May 23-25, 2018},
  pages        = {51--56},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3194554.3194585},
  doi          = {10.1145/3194554.3194585},
  timestamp    = {Wed, 10 Mar 2021 14:55:38 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/LoykaZK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/DouglassK18,
  author       = {Andrew J. Douglass and
                  Sunil P. Khatri},
  title        = {Synchronization of Ring-Based Resonant Standing Wave Oscillators for
                  3D Clocking Applications},
  booktitle    = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018,
                  Orlando, FL, USA, October 7-10, 2018},
  pages        = {318--325},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/ICCD.2018.00055},
  doi          = {10.1109/ICCD.2018.00055},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/DouglassK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/BharathiKFKK18,
  author       = {Kunal Bharathi and
                  Harsh Kumar and
                  Abbas A. Fairouz and
                  Ahmad Al Kawam and
                  Sunil P. Khatri},
  title        = {A Plain-Text Incremental Compression {(PIC)} Technique with Fast Lookup
                  Ability},
  booktitle    = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018,
                  Orlando, FL, USA, October 7-10, 2018},
  pages        = {389--396},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/ICCD.2018.00065},
  doi          = {10.1109/ICCD.2018.00065},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/BharathiKFKK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcbb/KawamKD17,
  author       = {Ahmad Al Kawam and
                  Sunil P. Khatri and
                  Aniruddha Datta},
  title        = {A Survey of Software and Hardware Approaches to Performing Read Alignment
                  in Next Generation Sequencing},
  journal      = {{IEEE} {ACM} Trans. Comput. Biol. Bioinform.},
  volume       = {14},
  number       = {6},
  pages        = {1202--1213},
  year         = {2017},
  url          = {https://doi.org/10.1109/TCBB.2016.2586070},
  doi          = {10.1109/TCBB.2016.2586070},
  timestamp    = {Mon, 03 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcbb/KawamKD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/bcb/ZhouKHLS17,
  author       = {He Zhou and
                  Sunil P. Khatri and
                  Jiang Hu and
                  Frank Liu and
                  Cliff C. N. Sze},
  editor       = {Nurit Haspel and
                  Lenore J. Cowen and
                  Amarda Shehu and
                  Tamer Kahveci and
                  Giuseppe Pozzi},
  title        = {Fast and Highly Scalable Bayesian {MDP} on a {GPU} Platform},
  booktitle    = {Proceedings of the 8th {ACM} International Conference on Bioinformatics,
                  Computational Biology, and Health Informatics, {BCB} 2017, Boston,
                  MA, USA, August 20-23, 2017},
  pages        = {158--167},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3107411.3107440},
  doi          = {10.1145/3107411.3107440},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/bcb/ZhouKHLS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/AbusultanK17,
  author       = {Monther Abusultan and
                  Sunil P. Khatri},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Design of a Flash-based Circuit for Multi-valued Logic},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {41--46},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060452},
  doi          = {10.1145/3060403.3060452},
  timestamp    = {Tue, 06 Nov 2018 16:59:34 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/AbusultanK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/SharmaK17,
  author       = {Kinshuk Sharma and
                  Sunil P. Khatri},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {A Robust C-element Design with Enhanced Metastability Performance},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {95--100},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060425},
  doi          = {10.1145/3060403.3060425},
  timestamp    = {Wed, 31 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/SharmaK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/FairouzAK17,
  author       = {Abbas A. Fairouz and
                  Monther Abusultan and
                  Sunil P. Khatri},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Circuit Level Design of a Hardware Hash Unit for use in Modern Microprocessors},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {101--106},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060451},
  doi          = {10.1145/3060403.3060451},
  timestamp    = {Fri, 13 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/FairouzAK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/FairouzK17,
  author       = {Abbas A. Fairouz and
                  Sunil P. Khatri},
  title        = {An FPGA-Based Coprocessor for Hash Unit Acceleration},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {301--304},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.53},
  doi          = {10.1109/ICCD.2017.53},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/FairouzK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/DouglassK17,
  author       = {Andrew J. Douglass and
                  Sunil P. Khatri},
  title        = {Fast, Ring-Based Design of 3D Stacked {DRAM}},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {665--672},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.116},
  doi          = {10.1109/ICCD.2017.116},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/DouglassK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/FedorovAK16,
  author       = {Viacheslav V. Fedorov and
                  Monther Abusultan and
                  Sunil P. Khatri},
  title        = {{FTCAM:} An Area-Efficient Flash-Based Ternary {CAM} Design},
  journal      = {{IEEE} Trans. Computers},
  volume       = {65},
  number       = {8},
  pages        = {2652--2658},
  year         = {2016},
  url          = {https://doi.org/10.1109/TC.2015.2493535},
  doi          = {10.1109/TC.2015.2493535},
  timestamp    = {Thu, 08 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/FedorovAK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/bhi/ZhouHKLSY16,
  author       = {He Zhou and
                  Jiang Hu and
                  Sunil P. Khatri and
                  Frank Liu and
                  Cliff C. N. Sze and
                  Mohammadmahdi R. Yousefi},
  title        = {{GPU} acceleration for Bayesian control of Markovian genetic regulatory
                  networks},
  booktitle    = {2016 {IEEE-EMBS} International Conference on Biomedical and Health
                  Informatics, {BHI} 2016, Las Vegas, NV, USA, February 24-27, 2016},
  pages        = {304--307},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/BHI.2016.7455895},
  doi          = {10.1109/BHI.2016.7455895},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/bhi/ZhouHKLSY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/KottapalliK16,
  author       = {Venkateshwar Kottapalli and
                  Sunil P. Khatri},
  title        = {A practical methodology to validate the statistical behavior of bloom
                  filters},
  booktitle    = {Proceedings of the Eleventh {IEEE/ACM/IFIP} International Conference
                  on Hardware/Software Codesign and System Synthesis, {CODES} 2016,
                  Pittsburgh, Pennsylvania, USA, October 1-7, 2016},
  pages        = {1:1--1:8},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2968456.2968461},
  doi          = {10.1145/2968456.2968461},
  timestamp    = {Sun, 08 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/KottapalliK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fusion/AbusultanCK16,
  author       = {Monther Abusultan and
                  Suman Chakravorty and
                  Sunil P. Khatri},
  title        = {A GPU-based implementation of a sensor tasking methodology},
  booktitle    = {19th International Conference on Information Fusion, {FUSION} 2016,
                  Heidelberg, Germany, July 5-8, 2016},
  pages        = {1398--1405},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://ieeexplore.ieee.org/document/7528047/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fusion/AbusultanCK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/AbusultanK16,
  author       = {Monther Abusultan and
                  Sunil P. Khatri},
  editor       = {Frank Liu},
  title        = {A flash-based digital circuit design flow},
  booktitle    = {Proceedings of the 35th International Conference on Computer-Aided
                  Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016},
  pages        = {6},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2966986.2966990},
  doi          = {10.1145/2966986.2966990},
  timestamp    = {Fri, 23 Jun 2023 22:29:48 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/AbusultanK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/AbusultanK16,
  author       = {Monther Abusultan and
                  Sunil P. Khatri},
  title        = {Implementing low power digital circuits using flash devices},
  booktitle    = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016,
                  Scottsdale, AZ, USA, October 2-5, 2016},
  pages        = {109--116},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/ICCD.2016.7753268},
  doi          = {10.1109/ICCD.2016.7753268},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/AbusultanK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/FairouzAK16,
  author       = {Abbas A. Fairouz and
                  Monther Abusultan and
                  Sunil P. Khatri},
  title        = {A novel hardware hash unit design for modern microprocessors},
  booktitle    = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016,
                  Scottsdale, AZ, USA, October 2-5, 2016},
  pages        = {412--415},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/ICCD.2016.7753316},
  doi          = {10.1109/ICCD.2016.7753316},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/FairouzAK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/AbusultanK16a,
  author       = {Monther Abusultan and
                  Sunil P. Khatri},
  title        = {Exploring static and dynamic flash-based {FPGA} design topologies},
  booktitle    = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016,
                  Scottsdale, AZ, USA, October 2-5, 2016},
  pages        = {416--419},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/ICCD.2016.7753317},
  doi          = {10.1109/ICCD.2016.7753317},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/AbusultanK16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/AbusultanK16,
  author       = {Monther Abusultan and
                  Sunil P. Khatri},
  title        = {A Ternary-Valued, Floating Gate Transistor-Based Circuit Design Approach},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2016, Pittsburgh,
                  PA, USA, July 11-13, 2016},
  pages        = {719--724},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISVLSI.2016.83},
  doi          = {10.1109/ISVLSI.2016.83},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/AbusultanK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/KishGKP16,
  author       = {Laszlo B. Kish and
                  Claes{-}Goran Granqvist and
                  Sunil P. Khatri and
                  Ferdinand Peper},
  title        = {Response to "Comment on 'Zero and negative energy dissipation
                  at information-theoretic erasure'"},
  journal      = {CoRR},
  volume       = {abs/1602.02638},
  year         = {2016},
  url          = {http://arxiv.org/abs/1602.02638},
  eprinttype    = {arXiv},
  eprint       = {1602.02638},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/KishGKP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/AbusultanK15,
  author       = {Monther Abusultan and
                  Sunil P. Khatri},
  editor       = {Alex K. Jones and
                  Hai (Helen) Li and
                  Ayse K. Coskun and
                  Martin Margala},
  title        = {Delay, Power and Energy Tradeoffs in Deep Voltage-scaled FPGAs},
  booktitle    = {Proceedings of the 25th edition on Great Lakes Symposium on VLSI,
                  {GLVLSI} 2015, Pittsburgh, PA, USA, May 20 - 22, 2015},
  pages        = {111--114},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2742060.2742120},
  doi          = {10.1145/2742060.2742120},
  timestamp    = {Tue, 23 Jul 2019 15:03:09 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/AbusultanK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/MurrayK15,
  author       = {Luke Murray and
                  Sunil P. Khatri},
  editor       = {Alex K. Jones and
                  Hai (Helen) Li and
                  Ayse K. Coskun and
                  Martin Margala},
  title        = {An Efficient Approach to Sample On-Chip Power Supplies},
  booktitle    = {Proceedings of the 25th edition on Great Lakes Symposium on VLSI,
                  {GLVLSI} 2015, Pittsburgh, PA, USA, May 20 - 22, 2015},
  pages        = {241--244},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2742060.2742121},
  doi          = {10.1145/2742060.2742121},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/MurrayK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/AguiarK15,
  author       = {Joao Marcos de Aguiar and
                  Sunil P. Khatri},
  title        = {Exploring the viability of stochastic computing},
  booktitle    = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015,
                  New York City, NY, USA, October 18-21, 2015},
  pages        = {391--394},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICCD.2015.7357131},
  doi          = {10.1109/ICCD.2015.7357131},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/AguiarK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/KishGKP15,
  author       = {Laszlo B. Kish and
                  Claes{-}G{\"{o}}ran Granqvist and
                  Sunil P. Khatri and
                  Ferdinand Peper},
  title        = {Zero and negative energy dissipation at information-theoretic erasure},
  journal      = {CoRR},
  volume       = {abs/1507.08906},
  year         = {2015},
  url          = {http://arxiv.org/abs/1507.08906},
  eprinttype    = {arXiv},
  eprint       = {1507.08906},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/KishGKP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/AbusultanK14,
  author       = {Monther Abusultan and
                  Sunil P. Khatri},
  title        = {Look-up Table Design for Deep Sub-threshold through Full-Supply Operation},
  booktitle    = {22nd {IEEE} Annual International Symposium on Field-Programmable Custom
                  Computing Machines, {FCCM} 2014, Boston, MA, USA, May 11-13, 2014},
  pages        = {259--266},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/FCCM.2014.80},
  doi          = {10.1109/FCCM.2014.80},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/AbusultanK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/AbusultanK14,
  author       = {Monther Abusultan and
                  Sunil P. Khatri},
  editor       = {Vaughn Betz and
                  George A. Constantinides},
  title        = {{FPGA} {LUT} design for wide-band dynamic voltage and frequency scaled
                  operation (abstract only)},
  booktitle    = {The 2014 {ACM/SIGDA} International Symposium on Field-Programmable
                  Gate Arrays, {FPGA} '14, Monterey, CA, {USA} - February 26 - 28, 2014},
  pages        = {241},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2554688.2554708},
  doi          = {10.1145/2554688.2554708},
  timestamp    = {Tue, 06 Nov 2018 16:58:22 +0100},
  biburl       = {https://dblp.org/rec/conf/fpga/AbusultanK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/AbusultanK14,
  author       = {Monther Abusultan and
                  Sunil P. Khatri},
  editor       = {Joseph R. Cavallaro and
                  Tong Zhang and
                  Alex K. Jones and
                  Hai (Helen) Li},
  title        = {A comparison of FinFET based {FPGA} {LUT} designs},
  booktitle    = {Great Lakes Symposium on {VLSI} 2014, {GLSVLSI} '14, Houston, TX,
                  {USA} - May 21 - 23, 2014},
  pages        = {353--358},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2591513.2591596},
  doi          = {10.1145/2591513.2591596},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/AbusultanK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/FedorovAK14,
  author       = {Viacheslav V. Fedorov and
                  Monther Abusultan and
                  Sunil P. Khatri},
  title        = {An area-efficient Ternary {CAM} design using floating gate transistors},
  booktitle    = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014,
                  Seoul, South Korea, October 19-22, 2014},
  pages        = {55--60},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCD.2014.6974662},
  doi          = {10.1109/ICCD.2014.6974662},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/FedorovAK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ElshennawyK14,
  author       = {Amr Elshennawy and
                  Sunil P. Khatri},
  title        = {An asynchronous Network-on-Chip router with low standby power},
  booktitle    = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014,
                  Seoul, South Korea, October 19-22, 2014},
  pages        = {394--399},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCD.2014.6974711},
  doi          = {10.1109/ICCD.2014.6974711},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ElshennawyK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/BianWK14,
  author       = {Kun Bian and
                  D. M. H. Walker and
                  Sunil P. Khatri},
  title        = {Techniques to Improve the Efficiency of {SAT} Based Path Delay Test
                  Generation},
  booktitle    = {2014 27th International Conference on {VLSI} Design, {VLSID} 2014,
                  and 2014 13th International Conference on Embedded Systems, Mumbai,
                  India, January 5-9, 2014},
  pages        = {50--55},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/VLSID.2014.16},
  doi          = {10.1109/VLSID.2014.16},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/BianWK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/CroixKG13,
  author       = {John F. Croix and
                  Sunil P. Khatri and
                  Kanupriya Gulati},
  title        = {Using GPUs to Accelerate {CAD} Algorithms},
  journal      = {{IEEE} Des. Test},
  volume       = {30},
  number       = {1},
  pages        = {8--16},
  year         = {2013},
  url          = {https://doi.org/10.1109/MDAT.2013.2250053},
  doi          = {10.1109/MDAT.2013.2250053},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/CroixKG13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/MandalKM13,
  author       = {Ayan Mandal and
                  Sunil P. Khatri and
                  Rabi N. Mahapatra},
  editor       = {Enrico Macii},
  title        = {Exploring topologies for source-synchronous ring-based network-on-chip},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France,
                  March 18-22, 2013},
  pages        = {1026--1031},
  publisher    = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}},
  year         = {2013},
  url          = {https://doi.org/10.7873/DATE.2013.214},
  doi          = {10.7873/DATE.2013.214},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/MandalKM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/KumarK13,
  author       = {Rajeev Kumar and
                  Sunil P. Khatri},
  editor       = {Enrico Macii},
  title        = {Crosstalk avoidance codes for 3D {VLSI}},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France,
                  March 18-22, 2013},
  pages        = {1673--1678},
  publisher    = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}},
  year         = {2013},
  url          = {https://doi.org/10.7873/DATE.2013.338},
  doi          = {10.7873/DATE.2013.338},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/KumarK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/BianWKL13,
  author       = {Kun Bian and
                  D. M. H. Walker and
                  Sunil P. Khatri and
                  Shayak Lahiri},
  title        = {Mixed structural-functional path delay test generation and compaction},
  booktitle    = {2013 {IEEE} International Symposium on Defect and Fault Tolerance
                  in {VLSI} and Nanotechnology Systems, {DFTS} 2013, New York City,
                  NY, USA, October 2-4, 2013},
  pages        = {7--12},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/DFT.2013.6653575},
  doi          = {10.1109/DFT.2013.6653575},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/BianWKL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/BelsareLK13,
  author       = {Aditya Belsare and
                  Steve Liu and
                  Sunil P. Khatri},
  editor       = {Jos{\'{e}} Luis Ayala and
                  Alex K. Jones and
                  Patrick H. Madden and
                  Ayse K. Coskun},
  title        = {{GPU} implementation of a scalable non-linear congruential generator
                  for cryptography applications},
  booktitle    = {Great Lakes Symposium on {VLSI} 2013 (part of ECRC), GLSVLSI'13, Paris,
                  France, May 2-4, 2013},
  pages        = {89--94},
  publisher    = {{ACM}},
  year         = {2013},
  url          = {https://doi.org/10.1145/2483028.2483068},
  doi          = {10.1145/2483028.2483068},
  timestamp    = {Wed, 31 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/BelsareLK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/MandalKM13,
  author       = {Ayan Mandal and
                  Sunil P. Khatri and
                  Rabi N. Mahapatra},
  editor       = {Jos{\'{e}} Luis Ayala and
                  Alex K. Jones and
                  Patrick H. Madden and
                  Ayse K. Coskun},
  title        = {A source-synchronous Htree-based network-on-chip},
  booktitle    = {Great Lakes Symposium on {VLSI} 2013 (part of ECRC), GLSVLSI'13, Paris,
                  France, May 2-4, 2013},
  pages        = {161--166},
  publisher    = {{ACM}},
  year         = {2013},
  url          = {https://doi.org/10.1145/2483028.2483083},
  doi          = {10.1145/2483028.2483083},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/MandalKM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ElshennawyMK13,
  author       = {Amr Elshennawy and
                  Craig M. Marianno and
                  Sunil P. Khatri},
  editor       = {Jos{\'{e}} Luis Ayala and
                  Alex K. Jones and
                  Patrick H. Madden and
                  Ayse K. Coskun},
  title        = {Architecture and 3D device simulation of a {PIN} diode-based Gamma
                  radiation detector},
  booktitle    = {Great Lakes Symposium on {VLSI} 2013 (part of ECRC), GLSVLSI'13, Paris,
                  France, May 2-4, 2013},
  pages        = {329--330},
  publisher    = {{ACM}},
  year         = {2013},
  url          = {https://doi.org/10.1145/2483028.2483126},
  doi          = {10.1145/2483028.2483126},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ElshennawyMK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LinK13,
  author       = {Pey{-}Chang Kent Lin and
                  Sunil P. Khatri},
  title        = {Noise-based algorithms for functional equivalence and tautology checking},
  booktitle    = {2013 {IEEE} 31st International Conference on Computer Design, {ICCD}
                  2013, Asheville, NC, USA, October 6-9, 2013},
  pages        = {235--240},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/ICCD.2013.6657048},
  doi          = {10.1109/ICCD.2013.6657048},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LinK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/MandalBJKM13,
  author       = {Ayan Mandal and
                  Kalyana C. Bollapalli and
                  Nikhil Jayakumar and
                  Sunil P. Khatri and
                  Rabi N. Mahapatra},
  title        = {A low-jitter phase-locked resonant clock generation and distribution
                  scheme},
  booktitle    = {2013 {IEEE} 31st International Conference on Computer Design, {ICCD}
                  2013, Asheville, NC, USA, October 6-9, 2013},
  pages        = {487--490},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/ICCD.2013.6657089},
  doi          = {10.1109/ICCD.2013.6657089},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/MandalBJKM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tit/SavariYAK12,
  author       = {Serap A. Savari and
                  S. M. Hossein Tabatabaei Yazdi and
                  Navid Abedini and
                  Sunil P. Khatri},
  title        = {On Optimal and Achievable Fix-Free Codes},
  journal      = {{IEEE} Trans. Inf. Theory},
  volume       = {58},
  number       = {8},
  pages        = {5112--5129},
  year         = {2012},
  url          = {https://doi.org/10.1109/TIT.2012.2196490},
  doi          = {10.1109/TIT.2012.2196490},
  timestamp    = {Tue, 10 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tit/SavariYAK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LinK12,
  author       = {Pey{-}Chang Kent Lin and
                  Sunil P. Khatri},
  editor       = {Patrick Groeneveld and
                  Donatella Sciuto and
                  Soha Hassoun},
  title        = {Application of logic synthesis to the understanding and cure of genetic
                  diseases},
  booktitle    = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San
                  Francisco, CA, USA, June 3-7, 2012},
  pages        = {734--740},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2228360.2228493},
  doi          = {10.1145/2228360.2228493},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LinK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LinMK12,
  author       = {Pey{-}Chang Kent Lin and
                  Ayan Mandal and
                  Sunil P. Khatri},
  editor       = {Patrick Groeneveld and
                  Donatella Sciuto and
                  Soha Hassoun},
  title        = {Boolean satisfiability using noise based logic},
  booktitle    = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San
                  Francisco, CA, USA, June 3-7, 2012},
  pages        = {1260--1261},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2228360.2228593},
  doi          = {10.1145/2228360.2228593},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LinMK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/MandalKM12,
  author       = {Ayan Mandal and
                  Sunil P. Khatri and
                  Rabi N. Mahapatra},
  editor       = {Wolfgang Rosenstiel and
                  Lothar Thiele},
  title        = {A fast, source-synchronous ring-based network-on-chip design},
  booktitle    = {2012 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012},
  pages        = {1489--1494},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DATE.2012.6176709},
  doi          = {10.1109/DATE.2012.6176709},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/MandalKM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/gensips/LinK12,
  author       = {Pey{-}Chang Kent Lin and
                  Sunil P. Khatri},
  title        = {Determining gene function in boolean networks using boolean satisfiability},
  booktitle    = {Proceedings 2012 {IEEE} International Workshop on Genomic Signal Processing
                  and Statistics, GENSiPS 2012, Washington, DC, USA, December 2-4, 2012},
  pages        = {176--179},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/GENSIPS.2012.6507757},
  doi          = {10.1109/GENSIPS.2012.6507757},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/gensips/LinK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/BhadviyaMK12,
  author       = {Bhavitavya Bhadviya and
                  Ayan Mandal and
                  Sunil P. Khatri},
  editor       = {Erik Brunvard and
                  Ken Stevens and
                  Joseph R. Cavallaro and
                  Tong Zhang},
  title        = {Alleviating NBTI-induced failure in off-chip output drivers},
  booktitle    = {Great Lakes Symposium on {VLSI} 2012, GLSVLSI'12, Salt Lake City,
                  UT, USA, May 3-4, 2012},
  pages        = {295--298},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2206781.2206853},
  doi          = {10.1145/2206781.2206853},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/BhadviyaMK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SwaminathanLK12,
  author       = {Subramanian Poothamkurissi Swaminathan and
                  Pey{-}Chang Kent Lin and
                  Sunil P. Khatri},
  title        = {Timing aware partitioning for multi-FPGA based logic simulation using
                  top-down selective hierarchy flattening},
  booktitle    = {30th International {IEEE} Conference on Computer Design, {ICCD} 2012,
                  Montreal, QC, Canada, September 30 - Oct. 3, 2012},
  pages        = {153--158},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICCD.2012.6378634},
  doi          = {10.1109/ICCD.2012.6378634},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/SwaminathanLK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KumarMK12,
  author       = {Rajeev Kumar and
                  Ayan Mandal and
                  Sunil P. Khatri},
  title        = {An efficient arithmetic Sum-of-Product {(SOP)} based multiplication
                  approach for {FIR} filters and {DFT}},
  booktitle    = {30th International {IEEE} Conference on Computer Design, {ICCD} 2012,
                  Montreal, QC, Canada, September 30 - Oct. 3, 2012},
  pages        = {195--200},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICCD.2012.6378640},
  doi          = {10.1109/ICCD.2012.6378640},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KumarMK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/MandalKM12,
  author       = {Ayan Mandal and
                  Sunil P. Khatri and
                  Rabi N. Mahapatra},
  title        = {Architectural simulations of a fast, source-synchronous ring-based
                  Network-on-Chip design},
  booktitle    = {30th International {IEEE} Conference on Computer Design, {ICCD} 2012,
                  Montreal, QC, Canada, September 30 - Oct. 3, 2012},
  pages        = {482--483},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICCD.2012.6378684},
  doi          = {10.1109/ICCD.2012.6378684},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/MandalKM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijuc/KishKBPGH11,
  author       = {Laszlo B. Kish and
                  Sunil P. Khatri and
                  Sergey M. Bezrukov and
                  Ferdinand Peper and
                  Zoltan Gingl and
                  Tam{\'{a}}s Horv{\'{a}}th},
  title        = {Noise-based Deterministic Logic and Computing: a Brief Survey},
  journal      = {Int. J. Unconv. Comput.},
  volume       = {7},
  number       = {1-2},
  pages        = {101--113},
  year         = {2011},
  url          = {http://www.oldcitypublishing.com/journals/ijuc-home/ijuc-issue-contents/ijuc-volume-7-number-1-2-2011/ijuc-7-1-2-p-101-113/},
  timestamp    = {Thu, 16 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijuc/KishKBPGH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/TurkerKS11,
  author       = {Didem Zeliha Turker and
                  Sunil P. Khatri and
                  Edgar S{\'{a}}nchez{-}Sinencio},
  title        = {A {DCVSL} Delay Cell for Fast Low Power Frequency Synthesis Applications},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {58-I},
  number       = {6},
  pages        = {1225--1238},
  year         = {2011},
  url          = {https://doi.org/10.1109/TCSI.2010.2103170},
  doi          = {10.1109/TCSI.2010.2103170},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/TurkerKS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/gensips/LinK11,
  author       = {Pey{-}Chang Kent Lin and
                  Sunil P. Khatri},
  title        = {Efficient cancer therapy using Boolean networks and Max-SAT-based
                  {ATPG}},
  booktitle    = {2011 {IEEE} International Workshop on Genomic Signal Processing and
                  Statistics, GENSiPS 2011, San Antonio, TX, USA, December 4-6, 2011},
  pages        = {87--90},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/GENSiPS.2011.6169450},
  doi          = {10.1109/GENSIPS.2011.6169450},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/gensips/LinK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LinIJK11,
  author       = {Pey{-}Chang Kent Lin and
                  Alex Ivanov and
                  Bradley Johnson and
                  Sunil P. Khatri},
  title        = {A novel cryptographic key exchange scheme using resistors},
  booktitle    = {{IEEE} 29th International Conference on Computer Design, {ICCD} 2011,
                  Amherst, MA, USA, October 9-12, 2011},
  pages        = {451--452},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ICCD.2011.6081445},
  doi          = {10.1109/ICCD.2011.6081445},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LinIJK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/MandalJBKM11,
  author       = {Ayan Mandal and
                  Nikhil Jayakumar and
                  Kalyana C. Bollapalli and
                  Sunil P. Khatri and
                  Rabi N. Mahapatra},
  title        = {An Automated Approach for Minimum Jitter Buffered H-Tree Construction},
  booktitle    = {{VLSI} Design 2011: 24th International Conference on {VLSI} Design,
                  {IIT} Madras, Chennai, India, 2-7 January 2011},
  pages        = {76--81},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/VLSID.2011.69},
  doi          = {10.1109/VLSID.2011.69},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/MandalJBKM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/MandalKKM11,
  author       = {Ayan Mandal and
                  Vinay Karkala and
                  Sunil P. Khatri and
                  Rabi N. Mahapatra},
  title        = {Interconnected Tile Standing Wave Resonant Oscillator Based Clock
                  Distribution Circuits},
  booktitle    = {{VLSI} Design 2011: 24th International Conference on {VLSI} Design,
                  {IIT} Madras, Chennai, India, 2-7 January 2011},
  pages        = {82--87},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/VLSID.2011.70},
  doi          = {10.1109/VLSID.2011.70},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/MandalKKM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1102-2256,
  author       = {Laszlo B. Kish and
                  Sunil P. Khatri and
                  Sergey M. Bezrukov and
                  Ferdinand Peper and
                  Zoltan Gingl and
                  Tam{\'{a}}s Horv{\'{a}}th},
  title        = {Noise-based information processing: Noise-based logic and computing:
                  what do we have so far?},
  journal      = {CoRR},
  volume       = {abs/1102.2256},
  year         = {2011},
  url          = {http://arxiv.org/abs/1102.2256},
  eprinttype    = {arXiv},
  eprint       = {1102.2256},
  timestamp    = {Tue, 19 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1102-2256.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1110-0550,
  author       = {Pey{-}Chang Kent Lin and
                  Ayan Mandal and
                  Sunil P. Khatri},
  title        = {Boolean Satisfiability using Noise Based Logic},
  journal      = {CoRR},
  volume       = {abs/1110.0550},
  year         = {2011},
  url          = {http://arxiv.org/abs/1110.0550},
  eprinttype    = {arXiv},
  eprint       = {1110.0550},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1110-0550.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/GulatiK10,
  author       = {Kanupriya Gulati and
                  Sunil P. Khatri},
  title        = {Fault Table Computation on GPUs},
  journal      = {J. Electron. Test.},
  volume       = {26},
  number       = {2},
  pages        = {195--209},
  year         = {2010},
  url          = {https://doi.org/10.1007/s10836-010-5147-x},
  doi          = {10.1007/S10836-010-5147-X},
  timestamp    = {Fri, 11 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/et/GulatiK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/JayakumarK10,
  author       = {Nikhil Jayakumar and
                  Sunil P. Khatri},
  title        = {A Simultaneous Input Vector Control and Circuit Modification Technique
                  to Reduce Leakage with Zero Delay Penalty},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {16},
  number       = {1},
  pages        = {9:1--9:20},
  year         = {2010},
  url          = {https://doi.org/10.1145/1870109.1870118},
  doi          = {10.1145/1870109.1870118},
  timestamp    = {Sun, 28 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/JayakumarK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/BollapalliKK10,
  author       = {Kalyana C. Bollapalli and
                  Sunil P. Khatri and
                  Laszlo B. Kish},
  editor       = {Giovanni De Micheli and
                  Bashir M. Al{-}Hashimi and
                  Wolfgang M{\"{u}}ller and
                  Enrico Macii},
  title        = {Implementing digital logic with sinusoidal supplies},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany,
                  March 8-12, 2010},
  pages        = {315--318},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/DATE.2010.5457188},
  doi          = {10.1109/DATE.2010.5457188},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/BollapalliKK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dcc/AbediniKS10,
  author       = {Navid Abedini and
                  Sunil P. Khatri and
                  Serap A. Savari},
  editor       = {James A. Storer and
                  Michael W. Marcellin},
  title        = {A SAT-Based Scheme to Determine Optimal Fix-Free Codes},
  booktitle    = {2010 Data Compression Conference {(DCC} 2010), 24-26 March 2010, Snowbird,
                  UT, {USA}},
  pages        = {169--178},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/DCC.2010.22},
  doi          = {10.1109/DCC.2010.22},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dcc/AbediniKS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/gensips/LinK10,
  author       = {Pey{-}Chang Kent Lin and
                  Sunil P. Khatri},
  title        = {Inference of gene predictor set using Boolean satisfiability},
  booktitle    = {2010 {IEEE} International Workshop on Genomic Signal Processing and
                  Statistics, GENSiPS 2010, Cold Spring Harbor, NY, USA, November 10-12,
                  2010},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/GENSIPS.2010.5719678},
  doi          = {10.1109/GENSIPS.2010.5719678},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/gensips/LinK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/GulatiK10,
  author       = {Kanupriya Gulati and
                  Sunil P. Khatri},
  editor       = {R. Iris Bahar and
                  Fabrizio Lombardi and
                  David Atienza and
                  Erik Brunvand},
  title        = {Boolean satisfiability on a graphics processor},
  booktitle    = {Proceedings of the 20th {ACM} Great Lakes Symposium on {VLSI} 2009,
                  Providence, Rhode Island, USA, May 16-18 2010},
  pages        = {123--126},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1785481.1785511},
  doi          = {10.1145/1785481.1785511},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/GulatiK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/LinK10,
  author       = {Pey{-}Chang Kent Lin and
                  Sunil P. Khatri},
  editor       = {R. Iris Bahar and
                  Fabrizio Lombardi and
                  David Atienza and
                  Erik Brunvand},
  title        = {{VLSI} implementation of a non-linear feedback shift register for
                  high-speed cryptography applications},
  booktitle    = {Proceedings of the 20th {ACM} Great Lakes Symposium on {VLSI} 2009,
                  Providence, Rhode Island, USA, May 16-18 2010},
  pages        = {381--384},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1785481.1785569},
  doi          = {10.1145/1785481.1785569},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/LinK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KarkalaWLK10,
  author       = {Vinay Karkala and
                  Joseph Wanstrath and
                  Travis Lacour and
                  Sunil P. Khatri},
  editor       = {Louis Scheffer and
                  Joel R. Phillips and
                  Alan J. Hu},
  title        = {Efficient arithmetic sum-of-product {(SOP)} based Multiple Constant
                  Multiplication {(MCM)} for {FFT}},
  booktitle    = {2010 International Conference on Computer-Aided Design, {ICCAD} 2010,
                  San Jose, CA, USA, November 7-11, 2010},
  pages        = {735--738},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ICCAD.2010.5654269},
  doi          = {10.1109/ICCAD.2010.5654269},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/KarkalaWLK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KumarK10,
  author       = {Rajesh Kumar and
                  Sunil P. Khatri},
  title        = {An efficient pulse flip-flop based launch-on-shift scan cell},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2010), May
                  30 - June 2, 2010, Paris, France},
  pages        = {4105--4108},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISCAS.2010.5537612},
  doi          = {10.1109/ISCAS.2010.5537612},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KumarK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1003-3932,
  author       = {Zoltan Gingl and
                  Sunil P. Khatri and
                  Laszlo B. Kish},
  title        = {Towards brain-inspired computing},
  journal      = {CoRR},
  volume       = {abs/1003.3932},
  year         = {2010},
  url          = {http://arxiv.org/abs/1003.3932},
  eprinttype    = {arXiv},
  eprint       = {1003.3932},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1003-3932.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1004-2652,
  author       = {Laszlo B. Kish and
                  Sunil P. Khatri and
                  Ferdinand Peper},
  title        = {Instantaneous noise-based logic},
  journal      = {CoRR},
  volume       = {abs/1004.2652},
  year         = {2010},
  url          = {http://arxiv.org/abs/1004.2652},
  eprinttype    = {arXiv},
  eprint       = {1004.2652},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1004-2652.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1005-1560,
  author       = {Laszlo B. Kish and
                  Sunil P. Khatri and
                  Tam{\'{a}}s Horv{\'{a}}th},
  title        = {Computation using Noise-based Logic: Efficient String Verification
                  over a Slow Communication Channel},
  journal      = {CoRR},
  volume       = {abs/1005.1560},
  year         = {2010},
  url          = {http://arxiv.org/abs/1005.1560},
  eprinttype    = {arXiv},
  eprint       = {1005.1560},
  timestamp    = {Tue, 19 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1005-1560.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1007-5282,
  author       = {Laszlo B. Kish and
                  Sunil P. Khatri and
                  Sergey M. Bezrukov and
                  Ferdinand Peper and
                  Zoltan Gingl and
                  Tam{\'{a}}s Horv{\'{a}}th},
  title        = {Noise-based deterministic logic and computing: a brief survey},
  journal      = {CoRR},
  volume       = {abs/1007.5282},
  year         = {2010},
  url          = {http://arxiv.org/abs/1007.5282},
  eprinttype    = {arXiv},
  eprint       = {1007.5282},
  timestamp    = {Tue, 19 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1007-5282.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jolpe/EkambavananGKN09,
  author       = {Sasidharan Ekambavanan and
                  Rajesh Garg and
                  Sunil P. Khatri and
                  Krishna R. Narayanan},
  title        = {Encoding Serial Graphical Data for Energy-Delay Product/Energy Minimization},
  journal      = {J. Low Power Electron.},
  volume       = {5},
  number       = {2},
  pages        = {157--172},
  year         = {2009},
  url          = {https://doi.org/10.1166/jolpe.2009.1017},
  doi          = {10.1166/JOLPE.2009.1017},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jolpe/EkambavananGKN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jolpe/BollapalliGGK09,
  author       = {Kalyana C. Bollapalli and
                  Rajesh Garg and
                  Kanupriya Gulati and
                  Sunil P. Khatri},
  title        = {Selective Forward Body Bias for High Speed and Low Power SRAMs},
  journal      = {J. Low Power Electron.},
  volume       = {5},
  number       = {2},
  pages        = {185--195},
  year         = {2009},
  url          = {https://doi.org/10.1166/jolpe.2009.1019},
  doi          = {10.1166/JOLPE.2009.1019},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jolpe/BollapalliGGK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/GulatiPKPJ09,
  author       = {Kanupriya Gulati and
                  Suganth Paul and
                  Sunil P. Khatri and
                  Srinivas Patil and
                  Abhijit Jas},
  title        = {FPGA-based hardware acceleration for Boolean satisfiability},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {14},
  number       = {2},
  pages        = {33:1--33:11},
  year         = {2009},
  url          = {https://doi.org/10.1145/1497561.1497576},
  doi          = {10.1145/1497561.1497576},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/GulatiPKPJ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/PaulJK09,
  author       = {Suganth Paul and
                  Nikhil Jayakumar and
                  Sunil P. Khatri},
  title        = {A Fast Hardware Approach for Approximate, Efficient Logarithm and
                  Antilogarithm Computations},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {17},
  number       = {2},
  pages        = {269--277},
  year         = {2009},
  url          = {https://doi.org/10.1109/TVLSI.2008.2003481},
  doi          = {10.1109/TVLSI.2008.2003481},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/PaulJK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/DuanCK09,
  author       = {Chunjie Duan and
                  Victor H. Cordero Calle and
                  Sunil P. Khatri},
  title        = {Efficient On-Chip Crosstalk Avoidance {CODEC} Design},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {17},
  number       = {4},
  pages        = {551--560},
  year         = {2009},
  url          = {https://doi.org/10.1109/TVLSI.2008.2005313},
  doi          = {10.1109/TVLSI.2008.2005313},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/DuanCK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/GargJKC09,
  author       = {Rajesh Garg and
                  Nikhil Jayakumar and
                  Sunil P. Khatri and
                  Gwan S. Choi},
  title        = {Circuit-Level Design Approaches for Radiation-Hard Digital Electronics},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {17},
  number       = {6},
  pages        = {781--792},
  year         = {2009},
  url          = {https://doi.org/10.1109/TVLSI.2008.2006795},
  doi          = {10.1109/TVLSI.2008.2006795},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/GargJKC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/allerton/BollapalliWGKC09,
  author       = {Kalyana C. Bollapalli and
                  Yiyue Wu and
                  Kanupriya Gulati and
                  Sunil P. Khatri and
                  A. Robert Calderbank},
  title        = {Highly parallel decoding of space-time codes on graphics processing
                  units},
  booktitle    = {47th Annual Allerton Conference on Communication, Control, and Computing,
                  Allerton 2009, Monticello, IL, USA, September 30 - October 2, 2009},
  pages        = {1262--1269},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/ALLERTON.2009.5394528},
  doi          = {10.1109/ALLERTON.2009.5394528},
  timestamp    = {Fri, 01 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/allerton/BollapalliWGKC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/GulatiK09,
  author       = {Kanupriya Gulati and
                  Sunil P. Khatri},
  editor       = {Kazutoshi Wakabayashi},
  title        = {Accelerating statistical static timing analysis using graphics processing
                  units},
  booktitle    = {Proceedings of the 14th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2009, Yokohama, Japan, January 19-22, 2009},
  pages        = {260--265},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/ASPDAC.2009.4796490},
  doi          = {10.1109/ASPDAC.2009.4796490},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/GulatiK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/GulatiCKS09,
  author       = {Kanupriya Gulati and
                  John F. Croix and
                  Sunil P. Khatri and
                  Rahm Shastry},
  editor       = {Kazutoshi Wakabayashi},
  title        = {Fast circuit simulation on graphics processing units},
  booktitle    = {Proceedings of the 14th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2009, Yokohama, Japan, January 19-22, 2009},
  pages        = {403--408},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/ASPDAC.2009.4796514},
  doi          = {10.1109/ASPDAC.2009.4796514},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/GulatiCKS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/GargK09,
  author       = {Rajesh Garg and
                  Sunil P. Khatri},
  editor       = {Kazutoshi Wakabayashi},
  title        = {Efficient analytical determination of the SEU-induced pulse shape},
  booktitle    = {Proceedings of the 14th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2009, Yokohama, Japan, January 19-22, 2009},
  pages        = {461--467},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/ASPDAC.2009.4796523},
  doi          = {10.1109/ASPDAC.2009.4796523},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/GargK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/GulatiKL09,
  author       = {Kanupriya Gulati and
                  Sunil P. Khatri and
                  Peng Li},
  editor       = {Paul Chow and
                  Peter Y. K. Cheung},
  title        = {Closed-loop modeling of power and temperature profiles of FPGAs},
  booktitle    = {Proceedings of the {ACM/SIGDA} 17th International Symposium on Field
                  Programmable Gate Arrays, {FPGA} 2009, Monterey, California, USA,
                  February 22-24, 2009},
  pages        = {287},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1508128.1508207},
  doi          = {10.1145/1508128.1508207},
  timestamp    = {Thu, 31 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpga/GulatiKL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/CobbGK09,
  author       = {Jeff L. Cobb and
                  Kanupriya Gulati and
                  Sunil P. Khatri},
  editor       = {Fabrizio Lombardi and
                  Sanjukta Bhanja and
                  Yehia Massoud and
                  R. Iris Bahar},
  title        = {Robust window-based multi-node technology-independent logic minimization},
  booktitle    = {Proceedings of the 19th {ACM} Great Lakes Symposium on {VLSI} 2009,
                  Boston Area, MA, USA, May 10-12 2009},
  pages        = {357--362},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1531542.1531623},
  doi          = {10.1145/1531542.1531623},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/CobbGK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/BollapalliGGK09,
  author       = {Kalyana C. Bollapalli and
                  Rajesh Garg and
                  Kanupriya Gulati and
                  Sunil P. Khatri},
  editor       = {Fabrizio Lombardi and
                  Sanjukta Bhanja and
                  Yehia Massoud and
                  R. Iris Bahar},
  title        = {Low power and high performance sram design using bank-based selective
                  forward body bias},
  booktitle    = {Proceedings of the 19th {ACM} Great Lakes Symposium on {VLSI} 2009,
                  Boston Area, MA, USA, May 10-12 2009},
  pages        = {441--444},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1531542.1531643},
  doi          = {10.1145/1531542.1531643},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/BollapalliGGK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hldvt/GulatiK09,
  author       = {Kanupriya Gulati and
                  Sunil P. Khatri},
  title        = {Fault table generation using Graphics Processing Units},
  booktitle    = {{IEEE} International High Level Design Validation and Test Workshop,
                  {HLDVT} 2009, San Francisco, CA, USA, 4-6 November 2009},
  pages        = {60--67},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/HLDVT.2009.5340175},
  doi          = {10.1109/HLDVT.2009.5340175},
  timestamp    = {Wed, 16 Oct 2019 14:14:57 +0200},
  biburl       = {https://dblp.org/rec/conf/hldvt/GulatiK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/CroixK09,
  author       = {John F. Croix and
                  Sunil P. Khatri},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Introduction to {GPU} programming for {EDA}},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {276--280},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687451},
  doi          = {10.1145/1687399.1687451},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/CroixK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KumarBGSK09,
  author       = {Rajesh Kumar and
                  Kalyana C. Bollapalli and
                  Rajesh Garg and
                  Tarun Soni and
                  Sunil P. Khatri},
  title        = {A robust pulsed flip-flop and its use in enhanced scan design},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {97--102},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413168},
  doi          = {10.1109/ICCD.2009.5413168},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KumarBGSK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/BollapalliGGK09,
  author       = {Kalyana C. Bollapalli and
                  Rajesh Garg and
                  Kanupriya Gulati and
                  Sunil P. Khatri},
  title        = {On-chip bidirectional wiring for heavily pipelined systems using network
                  coding},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {131--136},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413165},
  doi          = {10.1109/ICCD.2009.5413165},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/BollapalliGGK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/GargK09,
  author       = {Rajesh Garg and
                  Sunil P. Khatri},
  title        = {3D simulation and analysis of the radiation tolerance of voltage scaled
                  digital circuit},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {498--504},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413111},
  doi          = {10.1109/ICCD.2009.5413111},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/GargK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KumarKGJK09,
  author       = {Rajesh Kumar and
                  Vinay Karkala and
                  Rajesh Garg and
                  Tanuj Jindal and
                  Sunil P. Khatri},
  title        = {A radiation tolerant Phase Locked Loop design for digital electronics},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {505--510},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413108},
  doi          = {10.1109/ICCD.2009.5413108},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KumarKGJK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KarkalaBGK09,
  author       = {Vinay Karkala and
                  Kalyana C. Bollapalli and
                  Rajesh Garg and
                  Sunil P. Khatri},
  title        = {A {PLL} design based on a standing wave resonant oscillator},
  booktitle    = {27th International Conference on Computer Design, {ICCD} 2009, Lake
                  Tahoe, CA, USA, October 4-7, 2009},
  pages        = {511--516},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICCD.2009.5413109},
  doi          = {10.1109/ICCD.2009.5413109},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KarkalaBGK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AlaparthiGK09,
  author       = {Srikanth Alaparthi and
                  Kanupriya Gulati and
                  Sunil P. Khatri},
  title        = {Sorting Binary Numbers in Hardware - {A} Novel Algorithm and its Implementation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2009), 24-17
                  May 2009, Taipei, Taiwan},
  pages        = {2225--2228},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISCAS.2009.5118240},
  doi          = {10.1109/ISCAS.2009.5118240},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AlaparthiGK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/PaulGKV09,
  author       = {Suganth Paul and
                  Rajesh Garg and
                  Sunil P. Khatri and
                  Sheila Vaidya},
  title        = {Design and implementation of a sub-threshold {BFSK} transmitter},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {664--672},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810373},
  doi          = {10.1109/ISQED.2009.4810373},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/PaulGKV09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DashGKC09,
  author       = {Rajballav Dash and
                  Rajesh Garg and
                  Sunil P. Khatri and
                  Gwan S. Choi},
  title        = {{SEU} hardened clock regeneration circuits},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {806--813},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810396},
  doi          = {10.1109/ISQED.2009.4810396},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/DashGKC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iwnc/KishBKGS09,
  author       = {Laszlo B. Kish and
                  Sergey M. Bezrukov and
                  Sunil P. Khatri and
                  Zoltan Gingl and
                  S. Sethuraman},
  editor       = {Ferdinand Peper and
                  Hiroshi Umeo and
                  Nobuyuki Matsui and
                  Teijiro Isokawa},
  title        = {Noise-Based Logic and Computing: From Boolean Logic Gates to Brain
                  Circuitry and Its Possible Hardware Realization},
  booktitle    = {Natural Computing - 4th International Workshop on Natural Computing,
                  {IWNC} 2009, Himeji, Japan, September 2009, Proceedings},
  series       = {Proceedings in Information and Communications Technology},
  volume       = {2},
  pages        = {13--22},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-4-431-53868-4\_2},
  doi          = {10.1007/978-4-431-53868-4\_2},
  timestamp    = {Wed, 12 Sep 2018 18:41:31 +0200},
  biburl       = {https://dblp.org/rec/conf/iwnc/KishBKGS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/GulatiWKS08,
  author       = {Kanupriya Gulati and
                  Mandar Waghmode and
                  Sunil P. Khatri and
                  Weiping Shi},
  title        = {Efficient, scalable hardware engine for Boolean satisfiability and
                  unsatisfiable core extraction},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {2},
  number       = {3},
  pages        = {214--229},
  year         = {2008},
  url          = {https://doi.org/10.1049/iet-cdt:20060221},
  doi          = {10.1049/IET-CDT:20060221},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/GulatiWKS08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/GulatiJKW08,
  author       = {Kanupriya Gulati and
                  Nikhil Jayakumar and
                  Sunil P. Khatri and
                  D. M. H. Walker},
  title        = {A probabilistic method to determine the minimum leakage vector for
                  combinational designs in the presence of random {PVT} variations},
  journal      = {Integr.},
  volume       = {41},
  number       = {3},
  pages        = {399--412},
  year         = {2008},
  url          = {https://doi.org/10.1016/j.vlsi.2007.10.001},
  doi          = {10.1016/J.VLSI.2007.10.001},
  timestamp    = {Thu, 20 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/GulatiJKW08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/DasK08,
  author       = {Sabyasachi Das and
                  Sunil P. Khatri},
  title        = {A Timing-Driven Approach to Synthesize Fast Barrel Shifters},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {55-II},
  number       = {1},
  pages        = {31--35},
  year         = {2008},
  url          = {https://doi.org/10.1109/TCSII.2007.908951},
  doi          = {10.1109/TCSII.2007.908951},
  timestamp    = {Wed, 27 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/DasK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/SalujaGK08,
  author       = {Nikhil Saluja and
                  Kanupriya Gulati and
                  Sunil P. Khatri},
  title        = {SAT-based {ATPG} using multilevel compatible don't-cares},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {13},
  number       = {2},
  pages        = {24:1--24:18},
  year         = {2008},
  url          = {https://doi.org/10.1145/1344418.1344420},
  doi          = {10.1145/1344418.1344420},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/SalujaGK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/DasK08,
  author       = {Sabyasachi Das and
                  Sunil P. Khatri},
  title        = {Resource sharing among mutually exclusive sum-of-product blocks for
                  area reduction},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {13},
  number       = {3},
  pages        = {51:1--51:7},
  year         = {2008},
  url          = {https://doi.org/10.1145/1367045.1367060},
  doi          = {10.1145/1367045.1367060},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/DasK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/DasK08,
  author       = {Sabyasachi Das and
                  Sunil P. Khatri},
  title        = {A Novel Hybrid Parallel-Prefix Adder Architecture With Efficient Timing-Area
                  Characteristic},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {16},
  number       = {3},
  pages        = {326--331},
  year         = {2008},
  url          = {https://doi.org/10.1109/TVLSI.2007.915507},
  doi          = {10.1109/TVLSI.2007.915507},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/DasK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/KapoorJK08,
  author       = {Arjun Kapoor and
                  Nikhil Jayakumar and
                  Sunil P. Khatri},
  title        = {Dynamically De-Skewable Clock Distribution Methodology},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {16},
  number       = {9},
  pages        = {1220--1229},
  year         = {2008},
  url          = {https://doi.org/10.1109/TVLSI.2008.2000729},
  doi          = {10.1109/TVLSI.2008.2000729},
  timestamp    = {Wed, 09 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/KapoorJK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GulatiK08,
  author       = {Kanupriya Gulati and
                  Sunil P. Khatri},
  editor       = {Limor Fix},
  title        = {Towards acceleration of fault simulation using graphics processing
                  units},
  booktitle    = {Proceedings of the 45th Design Automation Conference, {DAC} 2008,
                  Anaheim, CA, USA, June 8-13, 2008},
  pages        = {822--827},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1391469.1391679},
  doi          = {10.1145/1391469.1391679},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/GulatiK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GargNK08,
  author       = {Rajesh Garg and
                  Charu Nagpal and
                  Sunil P. Khatri},
  editor       = {Limor Fix},
  title        = {A fast, analytical estimator for the SEU-induced pulse width in combinational
                  designs},
  booktitle    = {Proceedings of the 45th Design Automation Conference, {DAC} 2008,
                  Anaheim, CA, USA, June 8-13, 2008},
  pages        = {918--923},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1391469.1391702},
  doi          = {10.1145/1391469.1391702},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/GargNK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DuanZK08,
  author       = {Chunjie Duan and
                  Chengyu Zhu and
                  Sunil P. Khatri},
  editor       = {Limor Fix},
  title        = {Forbidden transition free crosstalk avoidance {CODEC} design},
  booktitle    = {Proceedings of the 45th Design Automation Conference, {DAC} 2008,
                  Anaheim, CA, USA, June 8-13, 2008},
  pages        = {986--991},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1391469.1391717},
  doi          = {10.1145/1391469.1391717},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/DuanZK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/NagpalGK08,
  author       = {Charu Nagpal and
                  Rajesh Garg and
                  Sunil P. Khatri},
  editor       = {Donatella Sciuto},
  title        = {A Delay-efficient Radiation-hard Digital Design Approach Using {CWSP}
                  Elements},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany,
                  March 10-14, 2008},
  pages        = {354--359},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1109/DATE.2008.4484705},
  doi          = {10.1109/DATE.2008.4484705},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/NagpalGK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/DuanK08,
  author       = {Chunjie Duan and
                  Sunil P. Khatri},
  editor       = {Donatella Sciuto},
  title        = {Energy Efficient and High Speed On-Chip Ternary Bus},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany,
                  March 10-14, 2008},
  pages        = {515--518},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1109/DATE.2008.4484901},
  doi          = {10.1109/DATE.2008.4484901},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/DuanK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/GargMK08,
  author       = {Rajesh Garg and
                  Gagandeep Mallarapu and
                  Sunil P. Khatri},
  editor       = {Donatella Sciuto},
  title        = {A Single-supply True Voltage Level Shifter},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany,
                  March 10-14, 2008},
  pages        = {979--984},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1109/DATE.2008.4484808},
  doi          = {10.1109/DATE.2008.4484808},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/GargMK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/CorderoK08,
  author       = {Victor H. Cordero Calle and
                  Sunil P. Khatri},
  editor       = {Donatella Sciuto},
  title        = {Clock Distribution Scheme using Coplanar Transmission Lines},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany,
                  March 10-14, 2008},
  pages        = {985--990},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1109/DATE.2008.4484809},
  doi          = {10.1109/DATE.2008.4484809},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/CorderoK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/VenkatramanGK08,
  author       = {Arunprasad Venkatraman and
                  Rajesh Garg and
                  Sunil P. Khatri},
  editor       = {Vijaykrishnan Narayanan and
                  Zhiyuan Yan and
                  Enrico Macii and
                  Sanjukta Bhanja},
  title        = {A robust, fast pulsed flip-flop design},
  booktitle    = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008,
                  Orlando, Florida, USA, May 4-6, 2008},
  pages        = {119--122},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1366110.1366140},
  doi          = {10.1145/1366110.1366140},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/VenkatramanGK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/GulatiK08,
  author       = {Kanupriya Gulati and
                  Sunil P. Khatri},
  editor       = {Vijaykrishnan Narayanan and
                  Zhiyuan Yan and
                  Enrico Macii and
                  Sanjukta Bhanja},
  title        = {Improving {FPGA} routability using network coding},
  booktitle    = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008,
                  Orlando, Florida, USA, May 4-6, 2008},
  pages        = {147--150},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1366110.1366147},
  doi          = {10.1145/1366110.1366147},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/GulatiK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/PaulGK08,
  author       = {Suganth Paul and
                  Rajesh Garg and
                  Sunil P. Khatri},
  editor       = {Vijaykrishnan Narayanan and
                  Zhiyuan Yan and
                  Enrico Macii and
                  Sanjukta Bhanja},
  title        = {Pipelined network of {PLA} based circuit design},
  booktitle    = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008,
                  Orlando, Florida, USA, May 4-6, 2008},
  pages        = {213--218},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1366110.1366162},
  doi          = {10.1145/1366110.1366162},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/PaulGK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/GopalaniGKC08,
  author       = {Salman Gopalani and
                  Rajesh Garg and
                  Sunil P. Khatri and
                  Mosong Cheng},
  editor       = {Vijaykrishnan Narayanan and
                  Zhiyuan Yan and
                  Enrico Macii and
                  Sanjukta Bhanja},
  title        = {A lithography-friendly structured {ASIC} design approach},
  booktitle    = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008,
                  Orlando, Florida, USA, May 4-6, 2008},
  pages        = {315--320},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1366110.1366185},
  doi          = {10.1145/1366110.1366185},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/GopalaniGKC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/GargK08,
  author       = {Rajesh Garg and
                  Sunil P. Khatri},
  title        = {A novel, highly {SEU} tolerant digital circuit design approach},
  booktitle    = {26th International Conference on Computer Design, {ICCD} 2008, 12-15
                  October 2008, Lake Tahoe, CA, USA, Proceedings},
  pages        = {14--20},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ICCD.2008.4751834},
  doi          = {10.1109/ICCD.2008.4751834},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/GargK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GargLK08,
  author       = {Rajesh Garg and
                  Peng Li and
                  Sunil P. Khatri},
  title        = {Modeling dynamic stability of {SRAMS} in the presence of single event
                  upsets (SEUs)},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2008), 18-21
                  May 2008, Sheraton Seattle Hotel, Seattle, Washington, {USA}},
  pages        = {1788--1791},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISCAS.2008.4541786},
  doi          = {10.1109/ISCAS.2008.4541786},
  timestamp    = {Thu, 31 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/GargLK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/DasK08,
  author       = {Sabyasachi Das and
                  Sunil P. Khatri},
  title        = {A Merged Synthesis Technique for Fast Arithmetic Blocks Involving
                  Sum-of-Products and Shifters},
  booktitle    = {21st International Conference on {VLSI} Design {(VLSI} Design 2008),
                  4-8 January 2008, Hyderabad, India},
  pages        = {572--579},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/VLSI.2008.112},
  doi          = {10.1109/VLSI.2008.112},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/DasK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/DasK08a,
  author       = {Sabyasachi Das and
                  Sunil P. Khatri},
  title        = {A Timing-Driven Synthesis Technique for Arithmetic Product-of-Sum
                  Expressions},
  booktitle    = {21st International Conference on {VLSI} Design {(VLSI} Design 2008),
                  4-8 January 2008, Hyderabad, India},
  pages        = {635--640},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/VLSI.2008.19},
  doi          = {10.1109/VLSI.2008.19},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/DasK08a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/DasK08b,
  author       = {Sabyasachi Das and
                  Sunil P. Khatri},
  title        = {An Inversion-Based Synthesis Approach for Area and Power Efficient
                  Arithmetic Sum-of-Products},
  booktitle    = {21st International Conference on {VLSI} Design {(VLSI} Design 2008),
                  4-8 January 2008, Hyderabad, India},
  pages        = {653--659},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/VLSI.2008.18},
  doi          = {10.1109/VLSI.2008.18},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/DasK08b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/JayakumarK07,
  author       = {Nikhil Jayakumar and
                  Sunil P. Khatri},
  title        = {A Predictably Low-Leakage {ASIC} Design Style},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {15},
  number       = {3},
  pages        = {276--285},
  year         = {2007},
  url          = {https://doi.org/10.1109/TVLSI.2007.893603},
  doi          = {10.1109/TVLSI.2007.893603},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/JayakumarK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsisp/NagarajanLJMK07,
  author       = {Vijay Nagarajan and
                  Stefan Laendner and
                  Nikhil Jayakumar and
                  Olgica Milenkovic and
                  Sunil P. Khatri},
  title        = {High-throughput {VLSI} Implementations of Iterative Decoders and Related
                  Code Construction Problems},
  journal      = {J. {VLSI} Signal Process.},
  volume       = {49},
  number       = {1},
  pages        = {185--206},
  year         = {2007},
  url          = {https://doi.org/10.1007/s11265-007-0054-9},
  doi          = {10.1007/S11265-007-0054-9},
  timestamp    = {Wed, 20 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/vlsisp/NagarajanLJMK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/JayakumarK07,
  author       = {Nikhil Jayakumar and
                  Sunil P. Khatri},
  editor       = {Rudy Lauwereins and
                  Jan Madsen},
  title        = {An algorithm to minimize leakage through simultaneous input vector
                  control and circuit modification},
  booktitle    = {2007 Design, Automation and Test in Europe Conference and Exposition,
                  {DATE} 2007, Nice, France, April 16-20, 2007},
  pages        = {618--623},
  publisher    = {{EDA} Consortium, San Jose, CA, {USA}},
  year         = {2007},
  url          = {https://doi.org/10.1109/DATE.2007.364662},
  doi          = {10.1109/DATE.2007.364662},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/JayakumarK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/GoldbergGK07,
  author       = {Eugene Goldberg and
                  Kanupriya Gulati and
                  Sunil P. Khatri},
  title        = {Toggle Equivalence Preserving {(TEP)} Logic Optimization},
  booktitle    = {Tenth Euromicro Conference on Digital System Design: Architectures,
                  Methods and Tools {(DSD} 2007), 29-31 August 2007, L{\"{u}}beck,
                  Germany},
  pages        = {271--279},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/DSD.2007.4341480},
  doi          = {10.1109/DSD.2007.4341480},
  timestamp    = {Wed, 16 Oct 2019 14:14:57 +0200},
  biburl       = {https://dblp.org/rec/conf/dsd/GoldbergGK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GulatiJK07,
  author       = {Kanupriya Gulati and
                  Nikhil Jayakumar and
                  Sunil P. Khatri},
  title        = {A Structured {ASIC} Design Approach Using Pass Transistor Logic},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2007), 27-20
                  May 2007, New Orleans, Louisiana, {USA}},
  pages        = {1787--1790},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISCAS.2007.378019},
  doi          = {10.1109/ISCAS.2007.378019},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GulatiJK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/CobbGK07,
  author       = {Jeff L. Cobb and
                  Rajesh Garg and
                  Sunil P. Khatri},
  editor       = {Patrick H. Madden and
                  David Z. Pan},
  title        = {A methodology for interconnect dimension determination},
  booktitle    = {Proceedings of the 2007 International Symposium on Physical Design,
                  {ISPD} 2007, Austin, Texas, USA, March 18-21, 2007},
  pages        = {189--195},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1231996.1232038},
  doi          = {10.1145/1231996.1232038},
  timestamp    = {Tue, 06 Nov 2018 11:07:47 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/CobbGK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LaMeresGK06,
  author       = {Brock J. LaMeres and
                  Kanupriya Gulati and
                  Sunil P. Khatri},
  editor       = {Fumiyasu Hirose},
  title        = {Controlling inductive cross-talk and power in off-chip buses using
                  CODECs},
  booktitle    = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation:
                  {ASP-DAC} 2006, Yokohama, Japan, January 24-27, 2006},
  pages        = {850--855},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASPDAC.2006.1594792},
  doi          = {10.1109/ASPDAC.2006.1594792},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LaMeresGK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/JayakumarGGK06,
  author       = {Nikhil Jayakumar and
                  Rajesh Garg and
                  Bruce Gamache and
                  Sunil P. Khatri},
  editor       = {Ellen Sentovich},
  title        = {A {PLA} based asynchronous micropipelining approach for subthreshold
                  circuit design},
  booktitle    = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006,
                  San Francisco, CA, USA, July 24-28, 2006},
  pages        = {419--424},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1146909.1147021},
  doi          = {10.1145/1146909.1147021},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/JayakumarGGK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GargJKC06,
  author       = {Rajesh Garg and
                  Nikhil Jayakumar and
                  Sunil P. Khatri and
                  Gwan Choi},
  editor       = {Ellen Sentovich},
  title        = {A design approach for radiation-hard digital electronics},
  booktitle    = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006,
                  San Francisco, CA, USA, July 24-28, 2006},
  pages        = {773--778},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1146909.1147105},
  doi          = {10.1145/1146909.1147105},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/GargJKC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LaMeresK06,
  author       = {Brock J. LaMeres and
                  Sunil P. Khatri},
  editor       = {Georges G. E. Gielen},
  title        = {Bus stuttering: an encoding technique to reduce inductive noise in
                  off-chip data transmission},
  booktitle    = {Proceedings of the Conference on Design, Automation and Test in Europe,
                  {DATE} 2006, Munich, Germany, March 6-10, 2006},
  pages        = {522--527},
  publisher    = {European Design and Automation Association, Leuven, Belgium},
  year         = {2006},
  url          = {https://doi.org/10.1109/DATE.2006.243889},
  doi          = {10.1109/DATE.2006.243889},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/LaMeresK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ShenKZ06,
  author       = {Bo Shen and
                  Sunil P. Khatri and
                  Takis Zourntos},
  editor       = {Gang Qu and
                  Yehea I. Ismail and
                  Narayanan Vijaykrishnan and
                  Hai Zhou},
  title        = {Implementation of {MOSFET} based capacitors for digital applications},
  booktitle    = {Proceedings of the 16th {ACM} Great Lakes Symposium on {VLSI} 2006,
                  Philadelphia, PA, USA, April 30 - May 1, 2006},
  pages        = {180--186},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1127908.1127952},
  doi          = {10.1145/1127908.1127952},
  timestamp    = {Wed, 16 Aug 2023 21:16:32 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ShenKZ06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/GargSGJGK06,
  author       = {Rajesh Garg and
                  Mario S{\'{a}}nchez and
                  Kanupriya Gulati and
                  Nikhil Jayakumar and
                  Anshul Gupta and
                  Sunil P. Khatri},
  editor       = {Gang Qu and
                  Yehea I. Ismail and
                  Narayanan Vijaykrishnan and
                  Hai Zhou},
  title        = {A design flow to optimize circuit delay by using standard cells and
                  PLAs},
  booktitle    = {Proceedings of the 16th {ACM} Great Lakes Symposium on {VLSI} 2006,
                  Philadelphia, PA, USA, April 30 - May 1, 2006},
  pages        = {217--222},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1127908.1127960},
  doi          = {10.1145/1127908.1127960},
  timestamp    = {Thu, 20 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/GargSGJGK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/CampbellK06,
  author       = {Scott J. Campbell and
                  Sunil P. Khatri},
  editor       = {Gang Qu and
                  Yehea I. Ismail and
                  Narayanan Vijaykrishnan and
                  Hai Zhou},
  title        = {Resource and delay efficient matrix multiplication using newer {FPGA}
                  devices},
  booktitle    = {Proceedings of the 16th {ACM} Great Lakes Symposium on {VLSI} 2006,
                  Philadelphia, PA, USA, April 30 - May 1, 2006},
  pages        = {308--311},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1127908.1127979},
  doi          = {10.1145/1127908.1127979},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/CampbellK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icassp/KimJBSCK06,
  author       = {Euncheol Kim and
                  Nikhil Jayakumar and
                  Pankaj Bhagawat and
                  Anand Selvarathinam and
                  Gwan Choi and
                  Sunil P. Khatri},
  title        = {A High-Speed Fully-Programmable {VLSI} Decoder for Regular {LDPC}
                  Codes},
  booktitle    = {2006 {IEEE} International Conference on Acoustics Speech and Signal
                  Processing, {ICASSP} 2006, Toulouse, France, May 14-19, 2006},
  pages        = {972--975},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ICASSP.2006.1660818},
  doi          = {10.1109/ICASSP.2006.1660818},
  timestamp    = {Mon, 22 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icassp/KimJBSCK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/JayakumarKGS06,
  author       = {Nikhil Jayakumar and
                  Sunil P. Khatri and
                  Kanupriya Gulati and
                  Alexander Sprintson},
  editor       = {Soha Hassoun},
  title        = {Network coding for routability improvement in {VLSI}},
  booktitle    = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006,
                  San Jose, CA, USA, November 5-9, 2006},
  pages        = {820--823},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1233501.1233669},
  doi          = {10.1145/1233501.1233669},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/JayakumarKGS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/GargJK06,
  author       = {Rajesh Garg and
                  Nikhil Jayakumar and
                  Sunil P. Khatri},
  title        = {On the Improvement of Statistical Static Timing Analysis},
  booktitle    = {24th International Conference on Computer Design {(ICCD} 2006), 1-4
                  October 2006, San Jose, CA, {USA}},
  pages        = {37--42},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ICCD.2006.4380791},
  doi          = {10.1109/ICCD.2006.4380791},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/GargJK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/MenendezMGK06,
  author       = {Eric Menendez and
                  Dumezie Maduike and
                  Rajesh Garg and
                  Sunil P. Khatri},
  title        = {{CMOS} Comparators for High-Speed and Low-Power Applications},
  booktitle    = {24th International Conference on Computer Design {(ICCD} 2006), 1-4
                  October 2006, San Jose, CA, {USA}},
  pages        = {76--81},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ICCD.2006.4380797},
  doi          = {10.1109/ICCD.2006.4380797},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/MenendezMGK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WaghmodeGKS06,
  author       = {Mandar Waghmode and
                  Kanupriya Gulati and
                  Sunil P. Khatri and
                  Weiping Shi},
  title        = {An Efficient, Scalable Hardware Engine for Boolean SATisfiability},
  booktitle    = {24th International Conference on Computer Design {(ICCD} 2006), 1-4
                  October 2006, San Jose, CA, {USA}},
  pages        = {326--331},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ICCD.2006.4380836},
  doi          = {10.1109/ICCD.2006.4380836},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/WaghmodeGKS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DuanGK06,
  author       = {Chunjie Duan and
                  Kanupriya Gulati and
                  Sunil P. Khatri},
  title        = {Memory-based crosstalk canceling CODECs for on-chip buses},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24
                  May 2006, Island of Kos, Greece},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISCAS.2006.1692786},
  doi          = {10.1109/ISCAS.2006.1692786},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DuanGK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DuanK06,
  author       = {Chunjie Duan and
                  Sunil P. Khatri},
  title        = {Computing during supply voltage switching in {DVS} enabled real-time
                  processors},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24
                  May 2006, Island of Kos, Greece},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISCAS.2006.1693783},
  doi          = {10.1109/ISCAS.2006.1693783},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DuanK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GargK06,
  author       = {Rajesh Garg and
                  Sunil P. Khatri},
  title        = {Generalized buffering of {PTL} logic stages using Boolean division},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24
                  May 2006, Island of Kos, Greece},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISCAS.2006.1693908},
  doi          = {10.1109/ISCAS.2006.1693908},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GargK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GulatiJK06,
  author       = {Kanupriya Gulati and
                  Nikhil Jayakumar and
                  Sunil P. Khatri},
  title        = {A probabilistic method to determine the minimum leakage vector for
                  combinational designs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24
                  May 2006, Island of Kos, Greece},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISCAS.2006.1693066},
  doi          = {10.1109/ISCAS.2006.1693066},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GulatiJK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GulatiLK06,
  author       = {Kanupriya Gulati and
                  M. Lovell and
                  Sunil P. Khatri},
  title        = {Efficient don't care computation for hierarchical designs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24
                  May 2006, Island of Kos, Greece},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISCAS.2006.1693265},
  doi          = {10.1109/ISCAS.2006.1693265},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GulatiLK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/CulverK05,
  author       = {Van R. Culver and
                  Sunil P. Khatri},
  editor       = {Tingao Tang},
  title        = {A dynamic voltage scaling algorithm for energy reduction in hard real-time
                  systems},
  booktitle    = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation,
                  {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005},
  pages        = {842--845},
  publisher    = {{ACM} Press},
  year         = {2005},
  url          = {https://doi.org/10.1145/1120725.1121030},
  doi          = {10.1145/1120725.1121030},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/CulverK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/JayakumarDK05,
  author       = {Nikhil Jayakumar and
                  Sandeep Dhar and
                  Sunil P. Khatri},
  editor       = {William H. Joyner Jr. and
                  Grant Martin and
                  Andrew B. Kahng},
  title        = {A self-adjusting scheme to determine the optimum {RBB} by monitoring
                  leakage currents},
  booktitle    = {Proceedings of the 42nd Design Automation Conference, {DAC} 2005,
                  San Diego, CA, USA, June 13-17, 2005},
  pages        = {43--46},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1065579.1065595},
  doi          = {10.1145/1065579.1065595},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/JayakumarDK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/JayakumarK05,
  author       = {Nikhil Jayakumar and
                  Sunil P. Khatri},
  editor       = {William H. Joyner Jr. and
                  Grant Martin and
                  Andrew B. Kahng},
  title        = {A variation tolerant subthreshold design approach},
  booktitle    = {Proceedings of the 42nd Design Automation Conference, {DAC} 2005,
                  San Diego, CA, USA, June 13-17, 2005},
  pages        = {716--719},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1065579.1065767},
  doi          = {10.1145/1065579.1065767},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/JayakumarK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LaMeresK05,
  author       = {Brock J. LaMeres and
                  Sunil P. Khatri},
  title        = {Encoding-Based Minimization of Inductive Cross-Talk for Off-Chip Data
                  Transmission},
  booktitle    = {2005 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2005), 7-11 March 2005, Munich, Germany},
  pages        = {1318--1323},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/DATE.2005.134},
  doi          = {10.1109/DATE.2005.134},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/LaMeresK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icc/ValaviSK05,
  author       = {John Valavi and
                  Nikhil Saluja and
                  Sunil P. Khatri},
  title        = {A Boolean satisfiability based solution to the routing and wavelength
                  assignment problem in optical telecommunication networks},
  booktitle    = {Proceedings of {IEEE} International Conference on Communications,
                  {ICC} 2005, Seoul, Korea, 16-20 May 2005},
  pages        = {1802--1806},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ICC.2005.1494652},
  doi          = {10.1109/ICC.2005.1494652},
  timestamp    = {Wed, 16 Oct 2019 14:14:50 +0200},
  biburl       = {https://dblp.org/rec/conf/icc/ValaviSK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/VenkataramanJHLKRMA05,
  author       = {Ganesh Venkataraman and
                  Nikhil Jayakumar and
                  Jiang Hu and
                  Peng Li and
                  Sunil P. Khatri and
                  Anand Rajaram and
                  Patrick McGuinness and
                  Charles J. Alpert},
  title        = {Practical techniques to reduce skew and its variations in buffered
                  clock networks},
  booktitle    = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005,
                  San Jose, CA, USA, November 6-10, 2005},
  pages        = {592--596},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ICCAD.2005.1560135},
  doi          = {10.1109/ICCAD.2005.1560135},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/VenkataramanJHLKRMA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/AhmadJBHKM05,
  author       = {Seraj Ahmad and
                  Nikhil Jayakumar and
                  Vijay Balasubramanian and
                  Edward Hursey and
                  Sunil P. Khatri and
                  Rabi N. Mahapatra},
  title        = {X-Routing using Two Manhattan Route Instances},
  booktitle    = {23rd International Conference on Computer Design {(ICCD} 2005), 2-5
                  October 2005, San Jose, CA, {USA}},
  pages        = {45--52},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ICCD.2005.117},
  doi          = {10.1109/ICCD.2005.117},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/AhmadJBHKM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/JayakumarK05,
  author       = {Nikhil Jayakumar and
                  Sunil P. Khatri},
  title        = {Minimum Energy Near-threshold Network of {PLA} based Design},
  booktitle    = {23rd International Conference on Computer Design {(ICCD} 2005), 2-5
                  October 2005, San Jose, CA, {USA}},
  pages        = {399--404},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ICCD.2005.75},
  doi          = {10.1109/ICCD.2005.75},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/JayakumarK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LaMeresK05,
  author       = {Brock J. LaMeres and
                  Sunil P. Khatri},
  title        = {Broadband Impedance Matching for Inductive Interconnect in {VLSI}
                  Packages},
  booktitle    = {23rd International Conference on Computer Design {(ICCD} 2005), 2-5
                  October 2005, San Jose, CA, {USA}},
  pages        = {683--688},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ICCD.2005.35},
  doi          = {10.1109/ICCD.2005.35},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LaMeresK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LaMeresK05,
  author       = {Brock J. LaMeres and
                  Sunil P. Khatri},
  title        = {Performance model for inter-chip communication considering inductive
                  cross-talk and cost},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {4130--4133},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465540},
  doi          = {10.1109/ISCAS.2005.1465540},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LaMeresK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GulatiJK05,
  author       = {Kanupriya Gulati and
                  Nikhil Jayakumar and
                  Sunil P. Khatri},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {An algebraic decision diagram {(ADD)} based technique to find leakage
                  histograms of combinational designs},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {111--114},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077633},
  doi          = {10.1145/1077603.1077633},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/GulatiJK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/SalujaK05,
  author       = {Nikhil Saluja and
                  Sunil P. Khatri},
  title        = {Efficient SAT-based combinational {ATPG} using multi-level don't-cares},
  booktitle    = {Proceedings 2005 {IEEE} International Test Conference, {ITC} 2005,
                  Austin, TX, USA, November 8-10, 2005},
  pages        = {10},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/TEST.2005.1584069},
  doi          = {10.1109/TEST.2005.1584069},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/SalujaK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/HurseyJK05,
  author       = {Edward Hursey and
                  Nikhil Jayakumar and
                  Sunil P. Khatri},
  title        = {Non-Manhattan Routing Using a Manhattan Router},
  booktitle    = {18th International Conference on {VLSI} Design {(VLSI} Design 2005),
                  with the 4th International Conference on Embedded Systems Design,
                  3-7 January 2005, Kolkata, India},
  pages        = {445--450},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ICVD.2005.124},
  doi          = {10.1109/ICVD.2005.124},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/HurseyJK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/KhatriSBS04,
  author       = {Sunil P. Khatri and
                  Subarnarekha Sinha and
                  Robert K. Brayton and
                  Alberto L. Sangiovanni{-}Vincentelli},
  title        = {SPFD-based wire removal in standard-cell and network-of-PLA circuits},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {23},
  number       = {7},
  pages        = {1020--1030},
  year         = {2004},
  url          = {https://doi.org/10.1109/TCAD.2004.829821},
  doi          = {10.1109/TCAD.2004.829821},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/KhatriSBS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/SalujaK04,
  author       = {Nikhil Saluja and
                  Sunil P. Khatri},
  editor       = {Sharad Malik and
                  Limor Fix and
                  Andrew B. Kahng},
  title        = {A robust algorithm for approximate compatible observability don't
                  care {(CODC)} computation},
  booktitle    = {Proceedings of the 41th Design Automation Conference, {DAC} 2004,
                  San Diego, CA, USA, June 7-11, 2004},
  pages        = {422--427},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/996566.996688},
  doi          = {10.1145/996566.996688},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/SalujaK04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/DuanK04,
  author       = {Chunjie Duan and
                  Sunil P. Khatri},
  title        = {Exploiting Crosstalk to Speed up On-Chip Buse},
  booktitle    = {2004 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2004), 16-20 February 2004, Paris, France},
  pages        = {778--783},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/DATE.2004.1268974},
  doi          = {10.1109/DATE.2004.1268974},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/DuanK04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/globecom/NagarajanJKM04,
  author       = {Vijay Nagarajan and
                  Nikhil Jayakumar and
                  Sunil P. Khatri and
                  Olgica Milenkovic},
  title        = {High-throughput {VLSI} implementations of iterative decoders and related
                  code construction problems},
  booktitle    = {Proceedings of the Global Telecommunications Conference, 2004. {GLOBECOM}
                  '04, Dallas, Texas, USA, 29 November - 3 December 2004},
  pages        = {361--365},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/GLOCOM.2004.1377970},
  doi          = {10.1109/GLOCOM.2004.1377970},
  timestamp    = {Wed, 16 Oct 2019 14:14:51 +0200},
  biburl       = {https://dblp.org/rec/conf/globecom/NagarajanJKM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/JayakumarK04,
  author       = {Nikhil Jayakumar and
                  Sunil P. Khatri},
  title        = {A metal and via maskset programmable {VLSI} design methodology using
                  PLAs},
  booktitle    = {2004 International Conference on Computer-Aided Design, {ICCAD} 2004,
                  San Jose, CA, USA, November 7-11, 2004},
  pages        = {590--594},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ICCAD.2004.1382645},
  doi          = {10.1109/ICCAD.2004.1382645},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/JayakumarK04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KapoorJK04,
  author       = {Arjun Kapoor and
                  Nikhil Jayakumar and
                  Sunil P. Khatri},
  title        = {A novel clock distribution and dynamic de-skewing methodology},
  booktitle    = {2004 International Conference on Computer-Aided Design, {ICCAD} 2004,
                  San Jose, CA, USA, November 7-11, 2004},
  pages        = {626--631},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ICCAD.2004.1382651},
  doi          = {10.1109/ICCAD.2004.1382651},
  timestamp    = {Wed, 09 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/KapoorJK04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icccn/GamachePK03,
  author       = {Bruce Gamache and
                  Zachary Pfeffer and
                  Sunil P. Khatri},
  editor       = {Ronald P. Luijten and
                  Luiz A. DaSilva and
                  E. K. Park},
  title        = {A fast ternary {CAM} design for {IP} networking applications},
  booktitle    = {Proceedings of the 12th International Conference on Computer Communications
                  and Networks, {ICCCN} 2003, 20-22 October, 2003, Double Tree Lincoln
                  Centre, Dallas, Texas, {USA}},
  pages        = {434--439},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ICCCN.2003.1284205},
  doi          = {10.1109/ICCCN.2003.1284205},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/icccn/GamachePK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icon/BianK03,
  author       = {Jianjian Bian and
                  Sunil P. Khatri},
  title        = {{IP} routing table compression using {ESPRESSO-MV}},
  booktitle    = {11th {IEEE} International Conference on Networks, {ICON} 2003, September
                  28 - October 1, 2003 Sydney, NSW, Australia},
  pages        = {167--172},
  publisher    = {{IEEE}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ICON.2003.1266185},
  doi          = {10.1109/ICON.2003.1266185},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/icon/BianK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/JayakumarK03,
  author       = {Nikhil Jayakumar and
                  Sunil P. Khatri},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {An {ASIC} design methodology with predictably low leakage, using leakage-immune
                  standard cells},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {128--133},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871539},
  doi          = {10.1145/871506.871539},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/JayakumarK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/DasK02,
  author       = {Sabyasachi Das and
                  Sunil P. Khatri},
  title        = {An efficient and regular routing methodology for datapath designsusing
                  net regularity extraction},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {21},
  number       = {1},
  pages        = {93--101},
  year         = {2002},
  url          = {https://doi.org/10.1109/43.974141},
  doi          = {10.1109/43.974141},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/DasK02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hoti/DuanTK01,
  author       = {Chunjie Duan and
                  Anup Tirumala and
                  Sunil P. Khatri},
  title        = {Analysis and avoidance of cross-talk in on-chip buses},
  booktitle    = {The Ninth Symposium on High Performance Interconnects, {HOTI} '01,
                  Stanford, CA, USA, August 22-24, 2001},
  pages        = {133--138},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/HIS.2001.946705},
  doi          = {10.1109/HIS.2001.946705},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hoti/DuanTK01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/GostiKS01,
  author       = {Wilsin Gosti and
                  Sunil P. Khatri and
                  Alberto L. Sangiovanni{-}Vincentelli},
  editor       = {Rolf Ernst},
  title        = {Addressing the Timing Closure Problem by Integrating Logic Optimization
                  and Placement},
  booktitle    = {Proceedings of the 2001 {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 2001, San Jose, CA, USA, November 4-8, 2001},
  pages        = {224--231},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCAD.2001.968622},
  doi          = {10.1109/ICCAD.2001.968622},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/GostiKS01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/DasK01,
  author       = {Sabyasachi Das and
                  Sunil P. Khatri},
  editor       = {Sachin S. Sapatnekar and
                  Manfred Wiesel},
  title        = {A regularity-driven fast gridless detailed router for high frequency
                  datapath designs},
  booktitle    = {Proceedings of the 2001 International Symposium on Physical Design,
                  {ISPD} 2001, Sonoma County, CA, USA, April 1-4, 2001},
  pages        = {130--135},
  publisher    = {{ACM}},
  year         = {2001},
  url          = {https://doi.org/10.1145/369691.369752},
  doi          = {10.1145/369691.369752},
  timestamp    = {Tue, 06 Nov 2018 11:07:47 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/DasK01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KhatriBS00,
  author       = {Sunil P. Khatri and
                  Robert K. Brayton and
                  Alberto L. Sangiovanni{-}Vincentelli},
  editor       = {Ellen Sentovich},
  title        = {Cross-Talk Immune {VLSI} Design Using a Network of PLAs Embedded in
                  a Regular Layout Fabric},
  booktitle    = {Proceedings of the 2000 {IEEE/ACM} International Conference on Computer-Aided
                  Design, 2000, San Jose, California, USA, November 5-9, 2000},
  pages        = {412--418},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/ICCAD.2000.896507},
  doi          = {10.1109/ICCAD.2000.896507},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/KhatriBS00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SinhaKBS00,
  author       = {Subarnarekha Sinha and
                  Sunil P. Khatri and
                  Robert K. Brayton and
                  Alberto L. Sangiovanni{-}Vincentelli},
  title        = {Binary and Multi-Valued SPFD-Based Wire Removal in {PLA} Networks},
  booktitle    = {Proceedings of the {IEEE} International Conference On Computer Design:
                  {VLSI} In Computers {\&} Processors, {ICCD} '00, Austin, Texas,
                  USA, September 17-20, 2000},
  pages        = {494--503},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/ICCD.2000.878328},
  doi          = {10.1109/ICCD.2000.878328},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/SinhaKBS00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KhatriMBOS99,
  author       = {Sunil P. Khatri and
                  Amit Mehrotra and
                  Robert K. Brayton and
                  Ralph H. J. M. Otten and
                  Alberto L. Sangiovanni{-}Vincentelli},
  editor       = {Mary Jane Irwin},
  title        = {A Novel {VLSI} Layout Fabric for Deep Sub-Micron Applications},
  booktitle    = {Proceedings of the 36th Conference on Design Automation, New Orleans,
                  LA, USA, June 21-25, 1999},
  pages        = {491--496},
  publisher    = {{ACM} Press},
  year         = {1999},
  url          = {https://doi.org/10.1145/309847.309985},
  doi          = {10.1145/309847.309985},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/KhatriMBOS99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/BraytonK99,
  author       = {Robert K. Brayton and
                  Sunil P. Khatri},
  title        = {Multi-Valued Logic Synthesis},
  booktitle    = {12th International Conference on {VLSI} Design {(VLSI} Design 1999),
                  10-13 January 1999, Goa, India},
  pages        = {196--105},
  publisher    = {{IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.org/10.1109/ICVD.1999.745148},
  doi          = {10.1109/ICVD.1999.745148},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/BraytonK99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/KhatriBS99,
  author       = {Sunil P. Khatri and
                  Robert K. Brayton and
                  Alberto L. Sangiovanni{-}Vincentelli},
  title        = {Sequential Multi-Valued Network Simplification using Redundancy Removal},
  booktitle    = {12th International Conference on {VLSI} Design {(VLSI} Design 1999),
                  10-13 January 1999, Goa, India},
  pages        = {206--211},
  publisher    = {{IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.org/10.1109/ICVD.1999.745149},
  doi          = {10.1109/ICVD.1999.745149},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/KhatriBS99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cav/BraytonHSSACEKKPQRSSSV96,
  author       = {Robert K. Brayton and
                  Gary D. Hachtel and
                  Alberto L. Sangiovanni{-}Vincentelli and
                  Fabio Somenzi and
                  Adnan Aziz and
                  Szu{-}Tsung Cheng and
                  Stephen A. Edwards and
                  Sunil P. Khatri and
                  Yuji Kukimoto and
                  Abelardo Pardo and
                  Shaz Qadeer and
                  Rajeev K. Ranjan and
                  Shaker Sarwary and
                  Thomas R. Shiple and
                  Gitanjali Swamy and
                  Tiziano Villa},
  editor       = {Rajeev Alur and
                  Thomas A. Henzinger},
  title        = {{VIS:} {A} System for Verification and Synthesis},
  booktitle    = {Computer Aided Verification, 8th International Conference, {CAV} '96,
                  New Brunswick, NJ, USA, July 31 - August 3, 1996, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {1102},
  pages        = {428--432},
  publisher    = {Springer},
  year         = {1996},
  url          = {https://doi.org/10.1007/3-540-61474-5\_95},
  doi          = {10.1007/3-540-61474-5\_95},
  timestamp    = {Mon, 27 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cav/BraytonHSSACEKKPQRSSSV96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KhatriNKMBS96,
  author       = {Sunil P. Khatri and
                  Amit Narayan and
                  Sriram C. Krishnan and
                  Kenneth L. McMillan and
                  Robert K. Brayton and
                  Alberto L. Sangiovanni{-}Vincentelli},
  editor       = {Thomas Pennino and
                  Ellen J. Yoffa},
  title        = {Engineering Change in a Non-Deterministic {FSM} Setting},
  booktitle    = {Proceedings of the 33st Conference on Design Automation, Las Vegas,
                  Nevada, USA, Las Vegas Convention Center, June 3-7, 1996},
  pages        = {451--456},
  publisher    = {{ACM} Press},
  year         = {1996},
  url          = {https://doi.org/10.1145/240518.240604},
  doi          = {10.1145/240518.240604},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/KhatriNKMBS96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/BraytonHSSACEKKPQRSSSV96,
  author       = {Robert K. Brayton and
                  Gary D. Hachtel and
                  Alberto L. Sangiovanni{-}Vincentelli and
                  Fabio Somenzi and
                  Adnan Aziz and
                  Szu{-}Tsung Cheng and
                  Stephen A. Edwards and
                  Sunil P. Khatri and
                  Yuji Kukimoto and
                  Abelardo Pardo and
                  Shaz Qadeer and
                  Rajeev K. Ranjan and
                  Shaker Sarwary and
                  Thomas R. Shiple and
                  Gitanjali Swamy and
                  Tiziano Villa},
  editor       = {Mandayam K. Srivas and
                  Albert John Camilleri},
  title        = {{VIS}},
  booktitle    = {Formal Methods in Computer-Aided Design, First International Conference,
                  {FMCAD} '96, Palo Alto, California, USA, November 6-8, 1996, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {1166},
  pages        = {248--256},
  publisher    = {Springer},
  year         = {1996},
  url          = {https://doi.org/10.1007/BFb0031812},
  doi          = {10.1007/BFB0031812},
  timestamp    = {Mon, 27 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/BraytonHSSACEKKPQRSSSV96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmcad/JainNCKSBF96,
  author       = {Jawahar Jain and
                  Amit Narayan and
                  C. Coelho and
                  Sunil P. Khatri and
                  Alberto L. Sangiovanni{-}Vincentelli and
                  Robert K. Brayton and
                  Masahiro Fujita},
  editor       = {Mandayam K. Srivas and
                  Albert John Camilleri},
  title        = {Decomposition Techniques for Efficient {ROBDD} Construction},
  booktitle    = {Formal Methods in Computer-Aided Design, First International Conference,
                  {FMCAD} '96, Palo Alto, California, USA, November 6-8, 1996, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {1166},
  pages        = {419--434},
  publisher    = {Springer},
  year         = {1996},
  url          = {https://doi.org/10.1007/BFb0031825},
  doi          = {10.1007/BFB0031825},
  timestamp    = {Tue, 23 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fmcad/JainNCKSBF96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/NarayanKJFBS96,
  author       = {Amit Narayan and
                  Sunil P. Khatri and
                  Jawahar Jain and
                  Masahiro Fujita and
                  Robert K. Brayton and
                  Alberto L. Sangiovanni{-}Vincentelli},
  title        = {A study of composition schemes for mixed apply/compose based construction
                  of ROBDDs},
  booktitle    = {9th International Conference on {VLSI} Design {(VLSI} Design 1996),
                  3-6 January 1996, Bangalore, India},
  pages        = {249--253},
  publisher    = {{IEEE} Computer Society},
  year         = {1996},
  url          = {https://doi.org/10.1109/ICVD.1996.489494},
  doi          = {10.1109/ICVD.1996.489494},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/NarayanKJFBS96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}