default search action
BibTeX records: Fernanda Lima Kastensmidt
@inproceedings{DBLP:conf/latw/CostaBMSLKAAAM24, author = {Victor O. Costa and Fabio Benevenuti and Renan Menezes and Lidia Shibuya Sato and Luis Loures and Fernanda Lima Kastensmidt and Nemitala Added and Saulo G. Alberton and Vitor A. P. Aguiar and Nilberto H. Medina}, title = {Heavy Ion-Induced Faults on Programmable {UART} Controllers Embedded into SRAM-Based {FPGA}}, booktitle = {25th {IEEE} Latin American Test Symposium, {LATS} 2024, Maceio, Brazil, April 9-12, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/LATS62223.2024.10534591}, doi = {10.1109/LATS62223.2024.10534591}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/CostaBMSLKAAAM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/GobattoBAAMAMKA24, author = {Leonardo R. Gobatto and Fabio Benevenuti and Nemitala Added and Saulo G. Alberton and Eduardo L. A. Macchione and Vitor A. P. Aguiar and Nilberto H. Medina and Fernanda Lima Kastensmidt and Jos{\'{e}} Rodrigo Azambuja}, title = {Reliability Assessment of Arm Cortex-M Processors under Heavy Ions and Emulated Fault Injection}, booktitle = {25th {IEEE} Latin American Test Symposium, {LATS} 2024, Maceio, Brazil, April 9-12, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/LATS62223.2024.10534599}, doi = {10.1109/LATS62223.2024.10534599}, timestamp = {Wed, 05 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/GobattoBAAMAMKA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SandovalBK0ZSM23, author = {Bernardo Borges Sandoval and Leonardo Heitich Brendler and Fernanda Lima Kastensmidt and Ricardo Reis and Alexandra L. Zimpeck and Rafael B. Schvittz and Cristina Meinhardt}, title = {Impact on Radiation Robustness of Gate Mapping in FinFET Circuits under Work-function Fluctuation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023, Monterey, CA, USA, May 21-25, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISCAS46773.2023.10181528}, doi = {10.1109/ISCAS46773.2023.10181528}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SandovalBK0ZSM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-16876, author = {Jos{\'{e}} Rodrigo Azambuja and Fernando Sousa and Lucas Rosa and Fernanda Lima Kastensmidt}, title = {Evaluating the Efficiency of Software-only Techniques to Detect {SEU} and {SET} in Microprocessors}, journal = {CoRR}, volume = {abs/2309.16876}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.16876}, doi = {10.48550/ARXIV.2309.16876}, eprinttype = {arXiv}, eprint = {2309.16876}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-16876.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/GonzalezCMVBGPK21, author = {Carlos J. Gonz{\'{a}}lez and Bruno L. Costa and Diego N. Machado and Rafael Galhardo Vaz and Alexis C. Vilas B{\^{o}}as and Odair Lelis Gon{\c{c}}alez and Helmut Puchner and Fernanda Lima Kastensmidt and Nilberto H. Medina and Marcilei Aparecida Guazzelli and Tiago Roberto Balen}, title = {Failure Mechanism and Sampling Frequency Dependency on {TID} Response of {SAR} ADCs}, journal = {J. Electron. Test.}, volume = {37}, number = {3}, pages = {329--343}, year = {2021}, url = {https://doi.org/10.1007/s10836-021-05952-2}, doi = {10.1007/S10836-021-05952-2}, timestamp = {Thu, 05 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/GonzalezCMVBGPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lascas/BrendlerZKMR21, author = {Leonardo Heitich Brendler and Alexandra L. Zimpeck and Fernanda Lima Kastensmidt and Cristina Meinhardt and Ricardo A. L. Reis}, title = {Voltage Scaling Influence on the Soft Error Susceptibility of a FinFET-based Circuit}, booktitle = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS} 2021, Arequipa, Peru, February 21-24, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/LASCAS51355.2021.9459127}, doi = {10.1109/LASCAS51355.2021.9459127}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lascas/BrendlerZKMR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lascas/Hoppe0K21, author = {Augusto W. Hoppe and J{\"{u}}rgen Becker and Fernanda Lima Kastensmidt}, title = {High-speed Hardware Accelerator for Trace Decoding in Real-Time Program Monitoring}, booktitle = {12th {IEEE} Latin America Symposium on Circuits and System, {LASCAS} 2021, Arequipa, Peru, February 21-24, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/LASCAS51355.2021.9459137}, doi = {10.1109/LASCAS51355.2021.9459137}, timestamp = {Fri, 02 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lascas/Hoppe0K21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/RodriguesKB21, author = {Gennaro Severino Rodrigues and Fernanda Lima Kastensmidt and Alberto Bosio}, title = {Approximate Computing for Safety-Critical Applications}, booktitle = {22nd {IEEE} Latin American Test Symposium, {LATS} 2021, Punta del Este, Uruguay, October 27-29, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/LATS53581.2021.9651813}, doi = {10.1109/LATS53581.2021.9651813}, timestamp = {Mon, 03 Jan 2022 22:26:06 +0100}, biburl = {https://dblp.org/rec/conf/latw/RodriguesKB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/SandovalBZKRM21, author = {Bernardo Borges Sandoval and Leonardo Heitich Brendler and Alexandra L. Zimpeck and Fernanda Lima Kastensmidt and Ricardo Reis and Cristina Meinhardt}, title = {Exploring Gate Mapping and Transistor Sizing to Improve Radiation Robustness: {A} {C17} Benchmark Case-study}, booktitle = {22nd {IEEE} Latin American Test Symposium, {LATS} 2021, Punta del Este, Uruguay, October 27-29, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/LATS53581.2021.9651798}, doi = {10.1109/LATS53581.2021.9651798}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/SandovalBZKRM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/CasseauDSRKSCRB21, author = {Emmanuel Casseau and Petr Dobi{\'{a}}s and Oliver Sinnen and Gennaro Severino Rodrigues and Fernanda Lima Kastensmidt and Alessandro Savino and Stefano Di Carlo and Maurizio Rebaudengo and Alberto Bosio}, title = {Special Session: Operating Systems under test: an overview of the significance of the operating system in the resiliency of the computing continuum}, booktitle = {39th {IEEE} {VLSI} Test Symposium, {VTS} 2021, San Diego, CA, USA, April 25-28, 2021}, pages = {1--10}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/VTS50974.2021.9441042}, doi = {10.1109/VTS50974.2021.9441042}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/CasseauDSRKSCRB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/GoncalvesBMBHKA20, author = {Marcio M. Gon{\c{c}}alves and Fabio Benevenuti and Hector Gerardo Mu{\~{n}}oz Hernandez and Marcelo Brandalero and Michael H{\"{u}}bner and Fernanda Lima Kastensmidt and Jos{\'{e}} Rodrigo Azambuja}, title = {Investigating Floating-Point Implementations in a Softcore {GPU} under Radiation-Induced Faults}, booktitle = {27th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2020, Glasgow, Scotland, UK, November 23-25, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICECS49266.2020.9294939}, doi = {10.1109/ICECS49266.2020.9294939}, timestamp = {Wed, 28 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/GoncalvesBMBHKA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HoppeBK20, author = {Augusto W. Hoppe and J{\"{u}}rgen Becker and Fernanda Lima Kastensmidt}, title = {Fine Grained Control Flow Checking with Dedicated {FPGA} Monitors}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {219--224}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524751}, doi = {10.1109/SOCC49529.2020.9524751}, timestamp = {Tue, 14 Sep 2021 10:14:37 +0200}, biburl = {https://dblp.org/rec/conf/socc/HoppeBK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/RodriguesOKPB19, author = {Gennaro Severino Rodrigues and {\'{A}}dria Barros de Oliveira and Fernanda Lima Kastensmidt and Vincent Pouget and Alberto Bosio}, title = {Assessing the Reliability of Successive Approximate Computing Algorithms under Fault Injection}, journal = {J. Electron. Test.}, volume = {35}, number = {3}, pages = {367--381}, year = {2019}, url = {https://doi.org/10.1007/s10836-019-05806-y}, doi = {10.1007/S10836-019-05806-Y}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/RodriguesOKPB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/BosioORKVNANFH19, author = {Alberto Bosio and Ian O'Connor and Gennaro Severino Rodrigues and Fernanda Lima Kastensmidt and Elena I. Vatajelu and Giorgio Di Natale and Lorena Anghel and Surya Nagarajan and Moritz Fieback and Said Hamdioui}, title = {Rebooting Computing: The Challenges for Test and Reliability}, booktitle = {2019 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2019, Noordwijk, Netherlands, October 2-4, 2019}, pages = {8138--8143}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/DFT.2019.8875270}, doi = {10.1109/DFT.2019.8875270}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dft/BosioORKVNANFH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/ZimpeckMAHKR19, author = {Alexandra L. Zimpeck and Cristina Meinhardt and Laurent Artola and Guillaume Hubert and Fernanda Lima Kastensmidt and Ricardo Augusto da Luz Reis}, title = {Sleep Transistors to Improve the Process Variability and Soft Error Susceptibility}, booktitle = {26th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2019, Genoa, Italy, November 27-29, 2019}, pages = {582--585}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICECS46596.2019.8965045}, doi = {10.1109/ICECS46596.2019.8965045}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/ZimpeckMAHKR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/BenevenutiCTTKZ19, author = {Fabio Benevenuti and Eduardo Chielle and Jorge L. Tonfat and Lucas A. Tambara and Fernanda Lima Kastensmidt and Carlos Alberto Zaffari and Jo{\~{a}}o Baptista dos Santos Martins and Ot{\'{a}}vio Santos Cupertino Dur{\~{a}}o}, title = {Experimental Applications on SRAM-Based {FPGA} for the NanosatC-BR2 Scientific Mission}, booktitle = {{IEEE} International Parallel and Distributed Processing Symposium Workshops, {IPDPSW} 2019, Rio de Janeiro, Brazil, May 20-24, 2019}, pages = {140--146}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IPDPSW.2019.00032}, doi = {10.1109/IPDPSW.2019.00032}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/BenevenutiCTTKZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/BenevenutiK19, author = {Fabio Benevenuti and Fernanda Lima Kastensmidt}, title = {Comparing Exhaustive and Random Fault Injection Methods for Configuration Memory on SRAM-based FPGAs}, booktitle = {{IEEE} Latin American Test Symposium, {LATS} 2019, Santiago, Chile, March 11-13, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/LATW.2019.8704647}, doi = {10.1109/LATW.2019.8704647}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/latw/BenevenutiK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/RodriguesFBKB19, author = {Gennaro Severino Rodrigues and Juan Fonseca and Fabio Benevenuti and Fernanda Lima Kastensmidt and Alberto Bosio}, editor = {Jo{\~{a}}o Antonio Martino and Marcelo Lubaszewski and Matteo Sonza Reorda}, title = {Exploiting approximate computing for low-cost fault tolerant architectures}, booktitle = {Proceedings of the 32nd Symposium on Integrated Circuits and Systems Design, {SBCCI} 2019, Sao Paulo, Brazil, August 26-30, 2019}, pages = {3}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3338852.3339875}, doi = {10.1145/3338852.3339875}, timestamp = {Wed, 11 Aug 2021 17:02:35 +0200}, biburl = {https://dblp.org/rec/conf/sbcci/RodriguesFBKB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/ZimpeckMAHKR19, author = {Alexandra L. Zimpeck and Cristina Meinhardt and Laurent Artola and Guillaume Hubert and Fernanda Lima Kastensmidt and Ricardo Augusto da Luz Reis}, title = {Circuit-Level Techniques to Mitigate Process Variability and Soft Errors in FinFET Designs}, booktitle = {27th {IFIP/IEEE} International Conference on Very Large Scale Integration, VLSI-SoC 2019, Cuzco, Peru, October 6-9, 2019}, pages = {240--241}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VLSI-SoC.2019.8920383}, doi = {10.1109/VLSI-SOC.2019.8920383}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/ZimpeckMAHKR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ZimpeckMAHKR18, author = {Alexandra L. Zimpeck and Cristina Meinhardt and Laurent Artola and Guillaume Hubert and Fernanda Lima Kastensmidt and Ricardo Augusto da Luz Reis}, title = {Impact of different transistor arrangements on gate variability}, journal = {Microelectron. Reliab.}, volume = {88-90}, pages = {111--115}, year = {2018}, url = {https://doi.org/10.1016/j.microrel.2018.06.090}, doi = {10.1016/J.MICROREL.2018.06.090}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ZimpeckMAHKR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/GomesSMMCK18, author = {Iuri Albandes Cunha Gomes and Alejandro Serrano{-}Cases and Mayler G. A. Martins and Antonio Mart{\'{\i}}nez{-}{\'{A}}lvarez and Sergio Cuenca{-}Asensi and Fernanda Lima Kastensmidt}, title = {Design of approximate-TMR using approximate library and heuristic approaches}, journal = {Microelectron. Reliab.}, volume = {88-90}, pages = {898--902}, year = {2018}, url = {https://doi.org/10.1016/j.microrel.2018.07.115}, doi = {10.1016/J.MICROREL.2018.07.115}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/GomesSMMCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahs/Sanchez-Clemente18, author = {Antonio Sanchez{-}Clemente and Luis Entrena and Fernanda Lima Kastensmidt}, title = {Approximate {TMR} for selective error mitigation in FPGAs based on testability analysis}, booktitle = {2018 {NASA/ESA} Conference on Adaptive Hardware and Systems, {AHS} 2018, Edinburgh, United Kingdom, August 6-9, 2018}, pages = {112--119}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/AHS.2018.8541485}, doi = {10.1109/AHS.2018.8541485}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ahs/Sanchez-Clemente18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arc/BenevenutiK18, author = {Fabio Benevenuti and Fernanda Lima Kastensmidt}, editor = {Nikolaos S. Voros and Michael H{\"{u}}bner and Georgios Keramidas and Diana Goehringer and Christos P. Antonopoulos and Pedro C. Diniz}, title = {Analyzing {AXI} Streaming Interface for Hardware Acceleration in AP-SoC Under Soft Errors}, booktitle = {Applied Reconfigurable Computing. Architectures, Tools, and Applications - 14th International Symposium, {ARC} 2018, Santorini, Greece, May 2-4, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10824}, pages = {243--254}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-78890-6\_20}, doi = {10.1007/978-3-319-78890-6\_20}, timestamp = {Wed, 28 Apr 2021 16:06:57 +0200}, biburl = {https://dblp.org/rec/conf/arc/BenevenutiK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arc/HoppeKB18, author = {Augusto W. Hoppe and Fernanda Lima Kastensmidt and J{\"{u}}rgen Becker}, editor = {Nikolaos S. Voros and Michael H{\"{u}}bner and Georgios Keramidas and Diana Goehringer and Christos P. Antonopoulos and Pedro C. Diniz}, title = {Control Flow Analysis for Embedded Multi-core Hybrid Systems}, booktitle = {Applied Reconfigurable Computing. Architectures, Tools, and Applications - 14th International Symposium, {ARC} 2018, Santorini, Greece, May 2-4, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10824}, pages = {485--496}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-78890-6\_39}, doi = {10.1007/978-3-319-78890-6\_39}, timestamp = {Fri, 19 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/arc/HoppeKB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arc/RodriguesOKB18, author = {Gennaro Severino Rodrigues and {\'{A}}dria Barros de Oliveira and Fernanda Lima Kastensmidt and Alberto Bosio}, editor = {Nikolaos S. Voros and Michael H{\"{u}}bner and Georgios Keramidas and Diana Goehringer and Christos P. Antonopoulos and Pedro C. Diniz}, title = {Analyzing the Use of Taylor Series Approximation in Hardware and Embedded Software for Good Cost-Accuracy Tradeoffs}, booktitle = {Applied Reconfigurable Computing. Architectures, Tools, and Applications - 14th International Symposium, {ARC} 2018, Santorini, Greece, May 2-4, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10824}, pages = {647--658}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-78890-6\_52}, doi = {10.1007/978-3-319-78890-6\_52}, timestamp = {Tue, 10 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/arc/RodriguesOKB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcis/RodriguesOBKF18, author = {Gennaro Severino Rodrigues and {\'{A}}dria Barros de Oliveira and Alberto Bosio and Fernanda Lima Kastensmidt and Edison Pignaton de Freitas}, title = {{ARFT:} An Approximative Redundant Technique for Fault Tolerance}, booktitle = {Conference on Design of Circuits and Integrated Systems, {DCIS} 2018, Lyon, France, November 14-16, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/DCIS.2018.8681499}, doi = {10.1109/DCIS.2018.8681499}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dcis/RodriguesOBKF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RodriguesKPB18, author = {Gennaro Severino Rodrigues and Fernanda Lima Kastensmidt and Vincent Pouget and Alberto Bosio}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Performances {VS} Reliability: how to exploit Approximate Computing for Safety-Critical applications}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {291--294}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474122}, doi = {10.1109/IOLTS.2018.8474122}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/iolts/RodriguesKPB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/BenevenutiK18, author = {Fabio Benevenuti and Fernanda Lima Kastensmidt}, title = {Reliability evaluation on interfacing with {AXI} and {AXI-S} on Xilinx Zynq-7000 AP-SoC}, booktitle = {19th {IEEE} Latin-American Test Symposium, {LATS} 2018, Sao Paulo, Brazil, March 12-14, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/LATW.2018.8347233}, doi = {10.1109/LATW.2018.8347233}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/latw/BenevenutiK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/BenitesK18, author = {Luis Alberto Contreras Benites and Fernanda Lima Kastensmidt}, title = {Automated design flow for applying Triple Modular Redundancy {(TMR)} in complex digital circuits}, booktitle = {19th {IEEE} Latin-American Test Symposium, {LATS} 2018, Sao Paulo, Brazil, March 12-14, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/LATW.2018.8349668}, doi = {10.1109/LATW.2018.8349668}, timestamp = {Fri, 27 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/BenitesK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/GomesSSMMCK18, author = {Iuri Albandes Cunha Gomes and Alejandro Serrano{-}Cases and Antonio J. Sanchez{-}Clemente and Mayler G. A. Martins and Antonio Mart{\'{\i}}nez{-}{\'{A}}lvarez and Sergio Cuenca{-}Asensi and Fernanda Lima Kastensmidt}, title = {Improving approximate-TMR using multi-objective optimization genetic algorithm}, booktitle = {19th {IEEE} Latin-American Test Symposium, {LATS} 2018, Sao Paulo, Brazil, March 12-14, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/LATW.2018.8349665}, doi = {10.1109/LATW.2018.8349665}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/latw/GomesSSMMCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/LopesBKSR18, author = {Israel C. Lopes and Fabio Benevenuti and Fernanda Lima Kastensmidt and Altamiro Amadeu Susin and Paolo Rech}, title = {Reliability analysis on case-study traffic sign convolutional neural network on APSoC}, booktitle = {19th {IEEE} Latin-American Test Symposium, {LATS} 2018, Sao Paulo, Brazil, March 12-14, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/LATW.2018.8347234}, doi = {10.1109/LATW.2018.8347234}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/LopesBKSR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/RodriguesKPB18, author = {Gennaro Severino Rodrigues and Fernanda Lima Kastensmidt and Vincent Pouget and Alberto Bosio}, title = {Exploring the inherent fault tolerance of successive approximation algorithms under laser fault injection}, booktitle = {19th {IEEE} Latin-American Test Symposium, {LATS} 2018, Sao Paulo, Brazil, March 12-14, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/LATW.2018.8349675}, doi = {10.1109/LATW.2018.8349675}, timestamp = {Fri, 27 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/RodriguesKPB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/BenevenutiLPKR18, author = {Fabio Benevenuti and Fabiano Libano and Vincent Pouget and Fernanda Lima Kastensmidt and Paolo Rech}, title = {Comparative Analysis of Inference Errors in a Neural Network Implemented in SRAM-Based {FPGA} Induced by Neutron Irradiation and Fault Injection Methods}, booktitle = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI} 2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SBCCI.2018.8533235}, doi = {10.1109/SBCCI.2018.8533235}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/sbcci/BenevenutiLPKR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/TonfatTSK17, author = {Jorge L. Tonfat and Lucas A. Tambara and Andr{\'{e}} Santos and Fernanda Lima Kastensmidt}, title = {Soft error susceptibility analysis methodology of {HLS} designs in SRAM-based FPGAs}, journal = {Microprocess. Microsystems}, volume = {51}, pages = {209--219}, year = {2017}, url = {https://doi.org/10.1016/j.micpro.2017.04.016}, doi = {10.1016/J.MICPRO.2017.04.016}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/TonfatTSK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/TambaraCKTDBM17, author = {Lucas A. Tambara and Eduardo Chielle and Fernanda Lima Kastensmidt and Georgios Tsiligiannis and Salvatore Danzeca and Markus Brugger and A. Masi}, title = {Analyzing the impact of radiation-induced failures in flash-based APSoC with and without fault tolerance techniques at {CERN} environment}, journal = {Microelectron. Reliab.}, volume = {76-77}, pages = {640--643}, year = {2017}, url = {https://doi.org/10.1016/j.microrel.2017.06.049}, doi = {10.1016/J.MICROREL.2017.06.049}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mr/TambaraCKTDBM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/AguiarAHMKR17, author = {Y. Q. de Aguiar and Laurent Artola and Guillaume Hubert and Cristina Meinhardt and Fernanda Lima Kastensmidt and Ricardo Augusto da Luz Reis}, title = {Evaluation of radiation-induced soft error in majority voters designed in 7 nm FinFET technology}, journal = {Microelectron. Reliab.}, volume = {76-77}, pages = {660--664}, year = {2017}, url = {https://doi.org/10.1016/j.microrel.2017.06.077}, doi = {10.1016/J.MICROREL.2017.06.077}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/AguiarAHMKR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/GoncalvesSKA17, author = {Marcio Gon{\c{c}}alves and Mateus Saquetti and Fernanda Lima Kastensmidt and Jos{\'{e}} Rodrigo Azambuja}, title = {A low-level software-based fault tolerance approach to detect SEUs in GPUs' register files}, journal = {Microelectron. Reliab.}, volume = {76-77}, pages = {665--669}, year = {2017}, url = {https://doi.org/10.1016/j.microrel.2017.07.035}, doi = {10.1016/J.MICROREL.2017.07.035}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/GoncalvesSKA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arc/OliveiraTK17, author = {{\'{A}}dria Barros de Oliveira and Lucas Antunes Tambara and Fernanda Lima Kastensmidt}, editor = {Stephan Wong and Antonio Carlos Schneider Beck and Koen Bertels and Luigi Carro}, title = {Exploring Performance Overhead Versus Soft Error Detection in Lockstep Dual-Core {ARM} Cortex-A9 Processor Embedded into Xilinx Zynq APSoC}, booktitle = {Applied Reconfigurable Computing - 13th International Symposium, {ARC} 2017, Delft, The Netherlands, April 3-7, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10216}, pages = {189--201}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-56258-2\_17}, doi = {10.1007/978-3-319-56258-2\_17}, timestamp = {Tue, 14 May 2019 10:00:49 +0200}, biburl = {https://dblp.org/rec/conf/arc/OliveiraTK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arc/SantosTBTK17, author = {Andr{\'{e}} Flores dos Santos and Lucas Antunes Tambara and Fabio Benevenuti and Jorge L. Tonfat and Fernanda Lima Kastensmidt}, editor = {Stephan Wong and Antonio Carlos Schneider Beck and Koen Bertels and Luigi Carro}, title = {Applying {TMR} in Hardware Accelerators Generated by High-Level Synthesis Design Flow for Mitigating Multiple Bit Upsets in SRAM-Based FPGAs}, booktitle = {Applied Reconfigurable Computing - 13th International Symposium, {ARC} 2017, Delft, The Netherlands, April 3-7, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10216}, pages = {202--213}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-56258-2\_18}, doi = {10.1007/978-3-319-56258-2\_18}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/arc/SantosTBTK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/AguiarKMR17, author = {Y. Q. de Aguiar and Fernanda Lima Kastensmidt and Cristina Meinhardt and Ricardo A. L. Reis}, title = {{SET} response of FinFET-based majority voter circuits under work-function fluctuation}, booktitle = {24th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2017, Batumi, Georgia, December 5-8, 2017}, pages = {282--285}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICECS.2017.8292064}, doi = {10.1109/ICECS.2017.8292064}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/AguiarKMR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/RodriguesRKRO17, author = {Gennaro Severino Rodrigues and Felipe Rosa and Fernanda Lima Kastensmidt and Ricardo Reis and Luciano Ost}, title = {Investigating parallel {TMR} approaches and thread disposability in Linux}, booktitle = {24th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2017, Batumi, Georgia, December 5-8, 2017}, pages = {393--396}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICECS.2017.8292013}, doi = {10.1109/ICECS.2017.8292013}, timestamp = {Thu, 13 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/RodriguesRKRO17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lascas/CarvalhoK17, author = {Vicente Carvalho and Fernanda Lima Kastensmidt}, title = {Enhancing {I2C} robustness to soft errors}, booktitle = {8th {IEEE} Latin American Symposium on Circuits {\&} Systems, {LASCAS} 2017, Bariloche, Argentina, February 20-23, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/LASCAS.2017.7948049}, doi = {10.1109/LASCAS.2017.7948049}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/lascas/CarvalhoK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lascas/OliveiraTK17, author = {{\'{A}}dria Barros de Oliveira and Lucas Antunes Tambara and Fernanda Lima Kastensmidt}, title = {Applying lockstep in dual-core {ARM} Cortex-A9 to mitigate radiation-induced soft errors}, booktitle = {8th {IEEE} Latin American Symposium on Circuits {\&} Systems, {LASCAS} 2017, Bariloche, Argentina, February 20-23, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/LASCAS.2017.7948063}, doi = {10.1109/LASCAS.2017.7948063}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lascas/OliveiraTK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lascas/SantosTK17, author = {Andr{\'{e}} Flores dos Santos and Lucas Antunes Tambara and Fernanda Lima Kastensmidt}, title = {Evaluating the efficiency of using {TMR} in the high-level synthesis design flow of SRAM-based {FPGA}}, booktitle = {8th {IEEE} Latin American Symposium on Circuits {\&} Systems, {LASCAS} 2017, Bariloche, Argentina, February 20-23, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/LASCAS.2017.7948064}, doi = {10.1109/LASCAS.2017.7948064}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lascas/SantosTK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/BenevenutiK17, author = {Fabio Benevenuti and Fernanda Lima Kastensmidt}, title = {Evaluation of fault attack detection on SRAM-based FPGAs}, booktitle = {18th {IEEE} Latin American Test Symposium, {LATS} 2017, Bogot{\'{a}}, Colombia, March 13-15, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/LATW.2017.7906747}, doi = {10.1109/LATW.2017.7906747}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/latw/BenevenutiK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/BenitesK17, author = {Luis Alberto Contreras Benites and Fernanda Lima Kastensmidt}, title = {Fault injection methodology for single event effects on clock-gated ASICs}, booktitle = {18th {IEEE} Latin American Test Symposium, {LATS} 2017, Bogot{\'{a}}, Colombia, March 13-15, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/LATW.2017.7906742}, doi = {10.1109/LATW.2017.7906742}, timestamp = {Mon, 06 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/latw/BenitesK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/LopesKS17, author = {Israel C. Lopes and Fernanda Lima Kastensmidt and Altamiro Amadeu Susin}, title = {{SEU} susceptibility analysis of a feedforward neural network implemented in a SRAM-based {FPGA}}, booktitle = {18th {IEEE} Latin American Test Symposium, {LATS} 2017, Bogot{\'{a}}, Colombia, March 13-15, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/LATW.2017.7906770}, doi = {10.1109/LATW.2017.7906770}, timestamp = {Mon, 06 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/latw/LopesKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/RodriguesK17, author = {Gennaro Severino Rodrigues and Fernanda Lima Kastensmidt}, title = {Evaluating the behavior of successive approximation algorithms under soft errors}, booktitle = {18th {IEEE} Latin American Test Symposium, {LATS} 2017, Bogot{\'{a}}, Colombia, March 13-15, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/LATW.2017.7906764}, doi = {10.1109/LATW.2017.7906764}, timestamp = {Mon, 06 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/latw/RodriguesK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/OliveiraRK17, author = {{\'{A}}dria Barros de Oliveira and Gennaro Severino Rodrigues and Fernanda Lima Kastensmidt}, editor = {Jarbas A. N. Silveira}, title = {Analyzing lockstep dual-core {ARM} cortex-A9 soft error mitigation in freeRTOS applications}, booktitle = {Proceedings of the 30th Symposium on Integrated Circuits and Systems Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}}, Brazil, August 28 - September 01, 2017}, pages = {84--89}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3109984.3110008}, doi = {10.1145/3109984.3110008}, timestamp = {Mon, 19 Nov 2018 09:08:30 +0100}, biburl = {https://dblp.org/rec/conf/sbcci/OliveiraRK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/SartorLCKWB16, author = {Anderson L. Sartor and Arthur Francisco Lorenzon and Luigi Carro and Fernanda Lima Kastensmidt and Stephan Wong and Antonio C. S. Beck}, title = {Exploiting Idle Hardware to Provide Low Overhead Fault Tolerance for {VLIW} Processors}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {13}, number = {2}, pages = {13:1--13:21}, year = {2016}, url = {https://doi.org/10.1145/3001935}, doi = {10.1145/3001935}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetc/SartorLCKWB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arc/TonfatTSK16, author = {Jorge L. Tonfat and Lucas A. Tambara and Andr{\'{e}} Flores dos Santos and Fernanda Gusm{\~{a}}o de Lima Kastensmidt}, editor = {Vanderlei Bonato and Christos Bouganis and Marek Gorgon}, title = {Method to Analyze the Susceptibility of {HLS} Designs in SRAM-Based FPGAs Under Soft Errors}, booktitle = {Applied Reconfigurable Computing - 12th International Symposium, {ARC} 2016, Mangaratiba, RJ, Brazil, March 22-24, 2016, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9625}, pages = {132--143}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-30481-6\_11}, doi = {10.1007/978-3-319-30481-6\_11}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/arc/TonfatTSK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/KologeskiZK16, author = {Anelise Kologeski and Henrique Colao Zanuz and Fernanda Lima Kastensmidt}, title = {Using traffic monitoring to tolerate multiple faults in 3D NoCs}, booktitle = {17th Latin-American Test Symposium, {LATS} 2016, Foz do Iguacu, Brazil, April 6-8, 2016}, pages = {63--68}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/LATW.2016.7483341}, doi = {10.1109/LATW.2016.7483341}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/latw/KologeskiZK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/NedelKA16, author = {Werner Nedel and Fernanda Lima Kastensmidt and Jos{\'{e}} Rodrigo Azambuja}, title = {Evaluating the effects of single event upsets in soft-core GPGPUs}, booktitle = {17th Latin-American Test Symposium, {LATS} 2016, Foz do Iguacu, Brazil, April 6-8, 2016}, pages = {93--98}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/LATW.2016.7483346}, doi = {10.1109/LATW.2016.7483346}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/NedelKA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/ChielleDKCSR16, author = {Eduardo Chielle and Boyang Du and Fernanda Lima Kastensmidt and Sergio Cuenca{-}Asensi and Luca Sterpone and Matteo Sonza Reorda}, title = {Hybrid soft error mitigation techniques for {COTS} processor-based systems}, booktitle = {17th Latin-American Test Symposium, {LATS} 2016, Foz do Iguacu, Brazil, April 6-8, 2016}, pages = {99--104}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/LATW.2016.7483347}, doi = {10.1109/LATW.2016.7483347}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/ChielleDKCSR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/CasagrandeK16, author = {Luiz Gustavo Casagrande and Fernanda Lima Kastensmidt}, title = {Soft error analysis in embedded software developed with {\&} without operating system}, booktitle = {17th Latin-American Test Symposium, {LATS} 2016, Foz do Iguacu, Brazil, April 6-8, 2016}, pages = {147--152}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/LATW.2016.7483355}, doi = {10.1109/LATW.2016.7483355}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/CasagrandeK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/RodriguesK16, author = {Gennaro Severino Rodrigues and Fernanda Lima Kastensmidt}, title = {Soft error analysis at sequential and parallel applications in {ARM} Cortex-A9 dual-core}, booktitle = {17th Latin-American Test Symposium, {LATS} 2016, Foz do Iguacu, Brazil, April 6-8, 2016}, pages = {179}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/LATW.2016.7483359}, doi = {10.1109/LATW.2016.7483359}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/RodriguesK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/Restrepo-CalleC15, author = {Felipe Restrepo{-}Calle and Sergio Cuenca{-}Asensi and Antonio Mart{\'{\i}}nez{-}{\'{A}}lvarez and Eduardo Chielle and Fernanda Lima Kastensmidt}, title = {Application-Based Analysis of Register File Criticality for Reliability Assessment in Embedded Microprocessors}, journal = {J. Electron. Test.}, volume = {31}, number = {2}, pages = {139--150}, year = {2015}, url = {https://doi.org/10.1007/s10836-015-5513-9}, doi = {10.1007/S10836-015-5513-9}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/Restrepo-CalleC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/RosaBWKOR15, author = {Felipe Rosa and Raphael Martins Brum and Gilson I. Wirth and Fernanda Gusm{\~{a}}o de Lima Kastensmidt and Luciano Ost and Ricardo Reis}, title = {Impact of dynamic voltage scaling and thermal factors on {SRAM} reliability}, journal = {Microelectron. Reliab.}, volume = {55}, number = {9-10}, pages = {1486--1490}, year = {2015}, url = {https://doi.org/10.1016/j.microrel.2015.07.013}, doi = {10.1016/J.MICROREL.2015.07.013}, timestamp = {Thu, 13 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mr/RosaBWKOR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/GomesMRK15, author = {Iuri A. C. Gomes and Mayler G. A. Martins and Andr{\'{e}} In{\'{a}}cio Reis and Fernanda Lima Kastensmidt}, title = {Exploring the use of approximate {TMR} to mask transient faults in logic with low area overhead}, journal = {Microelectron. Reliab.}, volume = {55}, number = {9-10}, pages = {2072--2076}, year = {2015}, url = {https://doi.org/10.1016/j.microrel.2015.06.125}, doi = {10.1016/J.MICROREL.2015.06.125}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/GomesMRK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ChenetTBKLB15, author = {Cristiano P. Chenet and Lucas A. Tambara and Gabriel de M. Borges and Fernanda Lima Kastensmidt and Marcelo Soares Lubaszewski and Tiago R. Balen}, title = {Exploring design diversity redundancy to improve resilience in mixed-signal systems}, journal = {Microelectron. Reliab.}, volume = {55}, number = {12}, pages = {2833--2844}, year = {2015}, url = {https://doi.org/10.1016/j.microrel.2015.08.011}, doi = {10.1016/J.MICROREL.2015.08.011}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ChenetTBKLB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahs/TonfatKR15, author = {Jorge L. Tonfat and Fernanda Lima Kastensmidt and Ricardo Reis}, title = {Energy efficient frame-level redundancy scrubbing technique for SRAM-based FPGAs}, booktitle = {2015 {NASA/ESA} Conference on Adaptive Hardware and Systems, {AHS} 2015, Montreal, QC, Canada, June 15-18, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/AHS.2015.7231160}, doi = {10.1109/AHS.2015.7231160}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ahs/TonfatKR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arc/TambaraARKBF15, author = {Lucas A. Tambara and Felipe Almeida and Paolo Rech and Fernanda Lima Kastensmidt and Giovanni Bruni and Christopher Frost}, editor = {Kentaro Sano and Dimitrios Soudris and Michael H{\"{u}}bner and Pedro C. Diniz}, title = {Measuring Failure Probability of Coarse and Fine Grain {TMR} Schemes in SRAM-based FPGAs Under Neutron-Induced Effects}, booktitle = {Applied Reconfigurable Computing - 11th International Symposium, {ARC} 2015, Bochum, Germany, April 13-17, 2015, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9040}, pages = {331--338}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-16214-0\_28}, doi = {10.1007/978-3-319-16214-0\_28}, timestamp = {Wed, 28 Apr 2021 16:06:56 +0200}, biburl = {https://dblp.org/rec/conf/arc/TambaraARKBF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/RosaKRO15, author = {Felipe Rosa and Fernanda Lima Kastensmidt and Ricardo Reis and Luciano Ost}, title = {A fast and scalable fault injection framework to evaluate multi/many-core soft error reliability}, booktitle = {2015 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFTS} 2015, Amherst, MA, USA, October 12-14, 2015}, pages = {211--214}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/DFT.2015.7315164}, doi = {10.1109/DFT.2015.7315164}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/RosaKRO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/SartorLCKWB15, author = {Anderson Luiz Sartor and Arthur Francisco Lorenzon and Luigi Carro and Fernanda Gusm{\~{a}}o de Lima Kastensmidt and Stephan Wong and Antonio Carlos Schneider Beck}, title = {A Novel Phase-Based Low Overhead Fault Tolerance Approach for {VLIW} Processors}, booktitle = {2015 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2015, Montpellier, France, July 8-10, 2015}, pages = {485--490}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISVLSI.2015.19}, doi = {10.1109/ISVLSI.2015.19}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/SartorLCKWB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/ZimpeckKR15, author = {Alexandra L. Zimpeck and Fernanda Lima Kastensmidt and Ricardo Reis}, title = {Analyzing the Impact of Frequency and Diverse Path Delays in the Time Vulnerability Factor of Master-Slave {D} Flip-Flops}, booktitle = {2015 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2015, Montpellier, France, July 8-10, 2015}, pages = {521--526}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISVLSI.2015.95}, doi = {10.1109/ISVLSI.2015.95}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/ZimpeckKR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/LoKB15, author = {Thiago Berticelli Lo and Fernanda Lima Kastensmidt and Antonio Carlos Schneider Beck}, title = {Using Configurable Bit-Width Voters to Mask Multiple Errors in Integrated Circuits}, booktitle = {2015 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2015, Montpellier, France, July 8-10, 2015}, pages = {533--538}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISVLSI.2015.65}, doi = {10.1109/ISVLSI.2015.65}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/LoKB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/GomesMRK15, author = {Iuri A. C. Gomes and Mayler G. A. Martins and Andr{\'{e}} In{\'{a}}cio Reis and Fernanda Lima Kastensmidt}, title = {Using only redundant modules with approximate logic to reduce drastically area overhead in {TMR}}, booktitle = {16th Latin-American Test Symposium, {LATS} 2015, Puerto Vallarta, Mexico, March 25-27, 2015}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/LATW.2015.7102522}, doi = {10.1109/LATW.2015.7102522}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/latw/GomesMRK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/Restrepo-CalleC15, author = {Felipe Restrepo{-}Calle and Sergio Cuenca{-}Asensi and Antonio Mart{\'{\i}}nez{-}{\'{A}}lvarez and Fernanda Lima Kastensmidt}, title = {Considerations on application of selective hardening based on software fault tolerance techniques}, booktitle = {16th Latin-American Test Symposium, {LATS} 2015, Puerto Vallarta, Mexico, March 25-27, 2015}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/LATW.2015.7102509}, doi = {10.1109/LATW.2015.7102509}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/latw/Restrepo-CalleC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/TarrilloTTKR15, author = {Jimmy Tarrillo and Jorge L. Tonfat and Lucas A. Tambara and Fernanda Lima Kastensmidt and Ricardo Reis}, title = {Multiple fault injection platform for SRAM-based {FPGA} based on ground-level radiation experiments}, booktitle = {16th Latin-American Test Symposium, {LATS} 2015, Puerto Vallarta, Mexico, March 25-27, 2015}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/LATW.2015.7102494}, doi = {10.1109/LATW.2015.7102494}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/TarrilloTTKR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/KologeskiZK15, author = {Anelise Kologeski and Henrique Colao Zanuz and Fernanda Lima Kastensmidt}, editor = {Robson Nunes de Lima and Ana Isabela Ara{\'{u}}jo Cunha and Calvin Plett and Wagner Luiz Alves de Oliveira}, title = {Latency Improvement with Traffic Flow Analysis in a 3D NoC under Multiple Faulty TSVs Scenario}, booktitle = {Proceedings of the 28th Symposium on Integrated Circuits and Systems Design, {SBCCI} 2015, Salvador, Brazil, August 31 - September 4, 2015}, pages = {29:1--29:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2800986.2801014}, doi = {10.1145/2800986.2801014}, timestamp = {Tue, 06 Nov 2018 16:58:27 +0100}, biburl = {https://dblp.org/rec/conf/sbcci/KologeskiZK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/AzambujaBKC14, author = {Jos{\'{e}} Rodrigo Azambuja and Gustavo Brown and Fernanda Lima Kastensmidt and Luigi Carro}, title = {Algorithm transformation methods to reduce the overhead of software-based fault tolerance techniques}, journal = {Microelectron. Reliab.}, volume = {54}, number = {5}, pages = {1050--1055}, year = {2014}, url = {https://doi.org/10.1016/j.microrel.2013.11.011}, doi = {10.1016/J.MICROREL.2013.11.011}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/AzambujaBKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/KastensmidtTBRW14, author = {Fernanda Lima Kastensmidt and Jorge L. Tonfat and Thiago Hanna Both and Paolo Rech and Gilson I. Wirth and Ricardo Reis and Florent Bruguier and Pascal Benoit and Lionel Torres and Christopher Frost}, title = {Voltage scaling and aging effects on soft error rate in SRAM-based FPGAs}, journal = {Microelectron. Reliab.}, volume = {54}, number = {9-10}, pages = {2344--2348}, year = {2014}, url = {https://doi.org/10.1016/j.microrel.2014.07.100}, doi = {10.1016/J.MICROREL.2014.07.100}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mr/KastensmidtTBRW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/TambaraKRF14, author = {Lucas A. Tambara and Fernanda Lima Kastensmidt and Paolo Rech and Christopher Frost}, title = {Decreasing {FIT} with diverse triple modular redundancy in SRAM-based FPGAs}, booktitle = {2014 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2014, Amsterdam, The Netherlands, October 1-3, 2014}, pages = {153--158}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/DFT.2014.6962070}, doi = {10.1109/DFT.2014.6962070}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/TambaraKRF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/LoKB14, author = {Thiago Berticelli Lo and Fernanda Lima Kastensmidt and Antonio Carlos Schneider Beck}, title = {Towards an adaptable bit-width {NMR} voter for multiple error masking}, booktitle = {2014 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2014, Amsterdam, The Netherlands, October 1-3, 2014}, pages = {258--263}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/DFT.2014.6962095}, doi = {10.1109/DFT.2014.6962095}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/LoKB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/KastensmidtTBRWRBBTF14, author = {Fernanda Lima Kastensmidt and Jorge L. Tonfat and Thiago Hanna Both and Paolo Rech and Gilson I. Wirth and Ricardo Reis and Florent Bruguier and Pascal Benoit and Lionel Torres and Christopher Frost}, editor = {Giorgio Di Natale}, title = {Aging and voltage scaling impacts under neutron-induced soft error rate in SRAM-based FPGAs}, booktitle = {19th {IEEE} European Test Symposium, {ETS} 2014, Paderborn, Germany, May 26-30, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ETS.2014.6847845}, doi = {10.1109/ETS.2014.6847845}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ets/KastensmidtTBRWRBBTF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/ChipanaK14, author = {Raul Chipana and Fernanda Gusm{\~{a}}o de Lima Kastensmidt}, title = {{SET} Susceptibility Analysis of Clock Tree and Clock Mesh Topologies}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2014, Tampa, FL, USA, July 9-11, 2014}, pages = {559--564}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISVLSI.2014.33}, doi = {10.1109/ISVLSI.2014.33}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/ChipanaK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lascas/TarrilloEKV14, author = {Jimmy Tarrillo and Fernando A. Escobar and Fernanda Lima Kastensmidt and Carlos Valderrama}, title = {Dynamic partial reconfiguration manager}, booktitle = {{IEEE} 5th Latin American Symposium on Circuits and Systems, {LASCAS} 2014, Santiago, Chile, February 25-28, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/LASCAS.2014.6820293}, doi = {10.1109/LASCAS.2014.6820293}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lascas/TarrilloEKV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/GeisslerKS14, author = {Filipe de Aguiar Geissler and Fernanda Lima Kastensmidt and Jose Eduardo Pereira Souza}, title = {Soft error injection methodology based on {QEMU} software platform}, booktitle = {15th Latin American Test Workshop - {LATW} 2014, Fortaleza, Brazil, March 12-15, 2014}, pages = {1--5}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/LATW.2014.6841910}, doi = {10.1109/LATW.2014.6841910}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/latw/GeisslerKS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/GomesMKRRN14, author = {Iuri A. C. Gomes and Mayler G. A. Martins and Fernanda Lima Kastensmidt and Andr{\'{e}} In{\'{a}}cio Reis and Renato P. Ribas and Sylvain P. Novales}, title = {Methodology for achieving best trade-off of area and fault masking coverage in {ATMR}}, booktitle = {15th Latin American Test Workshop - {LATW} 2014, Fortaleza, Brazil, March 12-15, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/LATW.2014.6841916}, doi = {10.1109/LATW.2014.6841916}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/GomesMKRRN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/NedelKA14, author = {Werner Nedel and Fernanda Lima Kastensmidt and Jos{\'{e}} Rodrigo Azambuja}, title = {Implementation and experimental evaluation of a {CUDA} core under single event effects}, booktitle = {15th Latin American Test Workshop - {LATW} 2014, Fortaleza, Brazil, March 12-15, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/LATW.2014.6841913}, doi = {10.1109/LATW.2014.6841913}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/NedelKA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/Restrepo-CalleCMCK14, author = {Felipe Restrepo{-}Calle and Sergio Cuenca{-}Asensi and Antonio Mart{\'{\i}}nez{-}{\'{A}}lvarez and Eduardo Chielle and Fernanda Lima Kastensmidt}, title = {Efficient metric for register file criticality in processor-based systems}, booktitle = {15th Latin American Test Workshop - {LATW} 2014, Fortaleza, Brazil, March 12-15, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/LATW.2014.6841922}, doi = {10.1109/LATW.2014.6841922}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/Restrepo-CalleCMCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/TambaraTRKPVG14, author = {Lucas A. Tambara and Jorge L. Tonfat and Ricardo Reis and Fernanda Lima Kastensmidt and Evaldo Carlos Fonseca Pereira and Rafael Galhardo Vaz and Odair Lelis Goncalez}, title = {Soft error rate in SRAM-based FPGAs under neutron-induced and {TID} effects}, booktitle = {15th Latin American Test Workshop - {LATW} 2014, Fortaleza, Brazil, March 12-15, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/LATW.2014.6841920}, doi = {10.1109/LATW.2014.6841920}, timestamp = {Thu, 06 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/TambaraTRKPVG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/newcas/KologeskiKLGST14, author = {Anelise Kologeski and Fernanda Lima Kastensmidt and Vianney Lapotre and Abdoulaye Gamati{\'{e}} and Gilles Sassatelli and Aida Todri{-}Sanial}, title = {Performance exploration of partially connected 3D NoCs under manufacturing variability}, booktitle = {{IEEE} 12th International New Circuits and Systems Conference, {NEWCAS} 2014, Trois-Rivieres, QC, Canada, June 22-25, 2014}, pages = {61--64}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/NEWCAS.2014.6933985}, doi = {10.1109/NEWCAS.2014.6933985}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/newcas/KologeskiKLGST14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/ChielleKC14, author = {Eduardo Chielle and Fernanda Lima Kastensmidt and Sergio Cuenca{-}Asensi}, title = {Tuning software-based fault-tolerance techniques for power optimization}, booktitle = {24th International Workshop on Power and Timing Modeling, Optimization and Simulation, PATMOS), Palma de Mallorca, Spain, September 29 - Oct. 1, 2014}, pages = {1--7}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/PATMOS.2014.6951871}, doi = {10.1109/PATMOS.2014.6951871}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/patmos/ChielleKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/TarrilloK14, author = {Jimmy Tarrillo and Fernanda Lima Kastensmidt}, title = {Estimating power consumption of multiple modular redundant designs in SRAM-based FPGAs for high dependable applications}, booktitle = {24th International Workshop on Power and Timing Modeling, Optimization and Simulation, PATMOS), Palma de Mallorca, Spain, September 29 - Oct. 1, 2014}, pages = {1--7}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/PATMOS.2014.6951903}, doi = {10.1109/PATMOS.2014.6951903}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/patmos/TarrilloK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/BruniRTNKRP14, author = {Giovanni Bruni and Paolo Rech and Lucas A. Tambara and Gabriel L. Nazar and Fernanda Gusm{\~{a}}o de Lima Kastensmidt and Ricardo Reis and Alessandro Paccagnella}, editor = {Lorena Garcia}, title = {Power dissipation effects on 28nm FPGA-based System on Chips neutron sensitivity}, booktitle = {22nd International Conference on Very Large Scale Integration, VLSI-SoC, Playa del Carmen, Mexico, October 6-8, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VLSI-SoC.2014.7004195}, doi = {10.1109/VLSI-SOC.2014.7004195}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/BruniRTNKRP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/MatosCKCKKS13, author = {Debora Matos and Caroline Concatto and Anelise Kologeski and Luigi Carro and M{\'{a}}rcio Eduardo Kreutz and Fernanda Gusm{\~{a}}o de Lima Kastensmidt and Altamiro Amadeu Susin}, title = {A {NOC} closed-loop performance monitor and adapter}, journal = {Microprocess. Microsystems}, volume = {37}, number = {6-7}, pages = {661--671}, year = {2013}, url = {https://doi.org/10.1016/j.micpro.2011.05.001}, doi = {10.1016/J.MICPRO.2011.05.001}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/MatosCKCKKS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/KologeskiCMGMAK13, author = {Anelise Kologeski and Caroline Concatto and Debora Matos and Daniel Grehs and Tiago Motta and Felipe Almeida and Fernanda Lima Kastensmidt and Altamiro Amadeu Susin and Ricardo Reis}, title = {Combining fault tolerance and serialization effort to improve yield in 3D Networks-on-Chip}, booktitle = {20th {IEEE} International Conference on Electronics, Circuits, and Systems, {ICECS} 2013, Abu Dhabi, UAE, December 8-11, 2013}, pages = {125--128}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICECS.2013.6815370}, doi = {10.1109/ICECS.2013.6815370}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/KologeskiCMGMAK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AzambujaBKC13, author = {Jos{\'{e}} Rodrigo Azambuja and Gustavo Brown and Fernanda Lima Kastensmidt and Luigi Carro}, title = {Algorithm transformation methods to reduce software-only fault tolerance techniques' overhead}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604042}, doi = {10.1109/IOLTS.2013.6604042}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/iolts/AzambujaBKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/KastensmidtRIS13, author = {Fernanda Lima Kastensmidt and Ricardo Reis and Leandro Soares Indrusiak and Gilles Sassatelli}, title = {Message from the general and program chairs}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2013, Natal, Brazil, August 5-7, 2013}, publisher = {{IEEE} Computer Socity}, year = {2013}, url = {https://doi.org/10.1109/ISVLSI.2013.6654643}, doi = {10.1109/ISVLSI.2013.6654643}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/KastensmidtRIS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/TarrilloTKRBBBT13, author = {Jimmy Tarrillo and Jorge L. Tonfat and Fernanda Lima Kastensmidt and Ricardo Reis and Florent Bruguier and Morgan Bourree and Pascal Benoit and Lionel Torres}, title = {Using electromagnetic emanations for variability characterization in Flash-based FPGAs}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2013, Natal, Brazil, August 5-7, 2013}, pages = {109--114}, publisher = {{IEEE} Computer Socity}, year = {2013}, url = {https://doi.org/10.1109/ISVLSI.2013.6654631}, doi = {10.1109/ISVLSI.2013.6654631}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isvlsi/TarrilloTKRBBBT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/TambaraKRBL13, author = {Lucas A. Tambara and Fernanda Lima Kastensmidt and Paolo Rech and Tiago R. Balen and Marcelo Lubaszewski}, title = {Neutron-induced single event effects analysis in a {SAR-ADC} architecture embedded in a mixed-signal SoC}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2013, Natal, Brazil, August 5-7, 2013}, pages = {188--193}, publisher = {{IEEE} Computer Socity}, year = {2013}, url = {https://doi.org/10.1109/ISVLSI.2013.6654657}, doi = {10.1109/ISVLSI.2013.6654657}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/TambaraKRBL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/ChielleABK13, author = {Eduardo Chielle and Jos{\'{e}} Rodrigo Azambuja and Raul S. Barth and Fernanda Lima Kastensmidt}, title = {Improving error detection with selective redundancy in software-based techniques}, booktitle = {14th Latin American Test Workshop, {LATW} 2013, Cordoba, Argentina, 3-5 April, 2013}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/LATW.2013.6562659}, doi = {10.1109/LATW.2013.6562659}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/latw/ChielleABK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/GomesK13, author = {Iuri A. C. Gomes and Fernanda Gusm{\~{a}}o de Lima Kastensmidt}, title = {Reducing {TMR} overhead by combining approximate circuit, transistor topology and input permutation approaches}, booktitle = {26th Symposium on Integrated Circuits and Systems Design, {SBCCI} 2013, Curitiba, Brazil, September 2-6, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SBCCI.2013.6644856}, doi = {10.1109/SBCCI.2013.6644856}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/sbcci/GomesK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/ChipanaCKTR12, author = {Raul Chipana and Eduardo Chielle and Fernanda Lima Kastensmidt and Jorge L. Tonfat and Ricardo Reis}, title = {Soft-Error Probability Due to {SET} in Clock Tree Networks}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2012, Amherst, MA, USA, August 19-21, 2012}, pages = {338--343}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISVLSI.2012.39}, doi = {10.1109/ISVLSI.2012.39}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/ChipanaCKTR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/BartraKR12, author = {Walter E. Calienes Bartra and Fernanda Lima Kastensmidt and Ricardo Reis}, title = {Simulation of {SET} faults in a voltage controlled oscillator}, booktitle = {13th Latin American Test Workshop, {LATW} 2012, Quito, Ecuador, April 10-13, 2012}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/LATW.2012.6261230}, doi = {10.1109/LATW.2012.6261230}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/latw/BartraKR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/ChielleBLK12, author = {Eduardo Chielle and Raul Sergio Barth and Angelo Cardoso Lapolli and Fernanda Lima Kastensmidt}, title = {Configurable tool to protect processors against {SEE} by software-based detection techniques}, booktitle = {13th Latin American Test Workshop, {LATW} 2012, Quito, Ecuador, April 10-13, 2012}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/LATW.2012.6261259}, doi = {10.1109/LATW.2012.6261259}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/latw/ChielleBLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/ChipanaKTR12, author = {Raul Chipana and Fernanda Lima Kastensmidt and Jorge L. Tonfat and Ricardo Reis}, title = {{SET} susceptibility estimation of clock tree networks from layout extraction}, booktitle = {13th Latin American Test Workshop, {LATW} 2012, Quito, Ecuador, April 10-13, 2012}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/LATW.2012.6261256}, doi = {10.1109/LATW.2012.6261256}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/latw/ChipanaKTR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/SouzaK12, author = {Jose Eduardo Pereira Souza and Fernanda Lima Kastensmidt}, title = {Applying adaptive temporal filtering for {SET} mitigation based on the propagation-delay of every logical path}, booktitle = {13th Latin American Test Workshop, {LATW} 2012, Quito, Ecuador, April 10-13, 2012}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/LATW.2012.6261257}, doi = {10.1109/LATW.2012.6261257}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/latw/SouzaK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/KologeskiCKC12, author = {Anelise Kologeski and Caroline Concatto and Fernanda Lima Kastensmidt and Luigi Carro}, editor = {Srinivas Katkoori and Matthew R. Guthaus and Ayse K. Coskun and Andreas Burg and Ricardo Reis}, title = {{ATARDS:} An adaptive fault-tolerant strategy to cope with massive defects in Network-on-Chip interconnections}, booktitle = {20th {IEEE/IFIP} International Conference on {VLSI} and System-on-Chip, VLSI-SoC 2012, Santa Cruz, CA, USA, October 7-10, 2012}, pages = {24--29}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSI-SoC.2012.6379000}, doi = {10.1109/VLSI-SOC.2012.6379000}, timestamp = {Tue, 06 Sep 2022 16:02:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/KologeskiCKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/KologeskiCKC12a, author = {Anelise Kologeski and Caroline Concatto and Fernanda Lima Kastensmidt and Luigi Carro}, editor = {Andreas Burg and Ayse K. Coskun and Matthew R. Guthaus and Srinivas Katkoori and Ricardo Reis}, title = {Fault-Tolerant Techniques to Manage Yield and Power Constraints in Network-on-Chip Interconnections}, booktitle = {VLSI-SoC: From Algorithms to Circuits and System-on-Chip Design - 20th {IFIP} {WG} 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2012, Santa Cruz, CA, USA, October 7-10, 2012, Revised Selected Papers}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {418}, pages = {144--161}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-45073-0\_8}, doi = {10.1007/978-3-642-45073-0\_8}, timestamp = {Tue, 22 Oct 2019 15:21:19 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/KologeskiCKC12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/AzambujaPRK11, author = {Jos{\'{e}} Rodrigo Azambuja and Samuel Pagliarini and Lucas Rosa and Fernanda Lima Kastensmidt}, title = {Exploring the Limitations of Software-based Techniques in {SEE} Fault Coverage}, journal = {J. Electron. Test.}, volume = {27}, number = {4}, pages = {541--550}, year = {2011}, url = {https://doi.org/10.1007/s10836-011-5218-7}, doi = {10.1007/S10836-011-5218-7}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/AzambujaPRK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/HerveMALKC11, author = {Marcos Barcellos Herv{\'{e}} and Marcelo de Souza Moraes and Pedro Almeida and Marcelo Lubaszewski and Fernanda Lima Kastensmidt and {\'{E}}rika F. Cota}, title = {Functional Test of Mesh-Based NoCs with Deterministic Routing: Integrating the Test of Interconnects and Routers}, journal = {J. Electron. Test.}, volume = {27}, number = {5}, pages = {635--646}, year = {2011}, url = {https://doi.org/10.1007/s10836-011-5246-3}, doi = {10.1007/S10836-011-5246-3}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/HerveMALKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/ChampacKPVZ11, author = {V{\'{\i}}ctor H. Champac and Fernanda Gusm{\~{a}}o de Lima Kastensmidt and Let{\'{\i}}cia Maria Veiras Bolzani Poehls and Fabian Vargas and Yervant Zorian}, title = {12th "IEEE Latin-American Test Workshop" Porto de Galinhas, Brazil, 27-30 March 2011}, journal = {J. Low Power Electron.}, volume = {7}, number = {4}, pages = {529--530}, year = {2011}, url = {https://doi.org/10.1166/jolpe.2011.1164}, doi = {10.1166/JOLPE.2011.1164}, timestamp = {Fri, 03 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/ChampacKPVZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/ConcattoAFHKCL11, author = {Caroline Concatto and Jo{\~{a}}o Almeida and Guilherme Fachini and Marcos Herv{\'{e}} and Fernanda Lima Kastensmidt and {\'{E}}rika F. Cota and Marcelo Lubaszewski}, title = {Improving the yield of NoC-based systems through fault diagnosis and adaptive routing}, journal = {J. Parallel Distributed Comput.}, volume = {71}, number = {5}, pages = {664--674}, year = {2011}, url = {https://doi.org/10.1016/j.jpdc.2010.10.014}, doi = {10.1016/J.JPDC.2010.10.014}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/ConcattoAFHKCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/MatosCKKCS11, author = {Debora Matos and Caroline Concatto and M{\'{a}}rcio Eduardo Kreutz and Fernanda Lima Kastensmidt and Luigi Carro and Altamiro Amadeu Susin}, title = {Reconfigurable Routers for Low Power and High Performance}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {19}, number = {11}, pages = {2045--2057}, year = {2011}, url = {https://doi.org/10.1109/TVLSI.2010.2068064}, doi = {10.1109/TVLSI.2010.2068064}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/MatosCKKCS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/KologeskiCCK11, author = {Anelise Kologeski and Caroline Concatto and Luigi Carro and Fernanda Lima Kastensmidt}, title = {Improving Reliability in NoCs by Application-Specific Mapping Combined with Adaptive Fault-Tolerant Method in the Links}, booktitle = {16th European Test Symposium, {ETS} 2011, Trondheim, Norway, May 23-27, 2011}, pages = {123--128}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ETS.2011.62}, doi = {10.1109/ETS.2011.62}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ets/KologeskiCCK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/AzambujaLAK11, author = {Jos{\'{e}} Rodrigo Azambuja and Angelo Cardoso Lapolli and Maur{\'{\i}}cio Altieri and Fernanda Lima Kastensmidt}, title = {Evaluating the efficiency of data-flow software-based techniques to detect SEEs in microprocessors}, booktitle = {12th Latin American Test Workshop, {LATW} 2011, Beach of Porto de Galinhas, Brazil, March 27-30, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/LATW.2011.5985914}, doi = {10.1109/LATW.2011.5985914}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/AzambujaLAK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/KologeskiCCK11, author = {Anelise Kologeski and Caroline Concatto and Luigi Carro and Fernanda Lima Kastensmidt}, title = {Adaptive approach to tolerate multiple faulty links in Network-on-Chip}, booktitle = {12th Latin American Test Workshop, {LATW} 2011, Beach of Porto de Galinhas, Brazil, March 27-30, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/LATW.2011.5985922}, doi = {10.1109/LATW.2011.5985922}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/KologeskiCCK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/PagliariniHK11, author = {Samuel Nascimento Pagliarini and Paulo Andr{\'{e}} Haacke and Fernanda Lima Kastensmidt}, title = {Evaluating coverage collection using the VEasy functional verification tool suite}, booktitle = {12th Latin American Test Workshop, {LATW} 2011, Beach of Porto de Galinhas, Brazil, March 27-30, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/LATW.2011.5985893}, doi = {10.1109/LATW.2011.5985893}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/PagliariniHK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/TarrilloCCK11, author = {Jimmy Tarrillo and Raul Chipana and Eduardo Chielle and Fernanda Lima Kastensmidt}, title = {Designing and analyzing a SpaceWire router {IP} for soft errors detection}, booktitle = {12th Latin American Test Workshop, {LATW} 2011, Beach of Porto de Galinhas, Brazil, March 27-30, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/LATW.2011.5985929}, doi = {10.1109/LATW.2011.5985929}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/TarrilloCCK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mse/PagliariniK11, author = {Samuel Nascimento Pagliarini and Fernanda Lima Kastensmidt}, title = {VEasy: {A} tool suite for teaching {VLSI} functional verification}, booktitle = {2011 {IEEE} International Conference on Microelectronic Systems Education, {MSE} 2011, San Diego, CA, USA, June 5-6, 2011}, pages = {94--97}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/MSE.2011.5937102}, doi = {10.1109/MSE.2011.5937102}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mse/PagliariniK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/AzambujaPAKHB11, author = {Jos{\'{e}} Rodrigo Azambuja and Samuel Pagliarini and Maur{\'{\i}}cio Altieri and Fernanda Lima Kastensmidt and Michael H{\"{u}}bner and J{\"{u}}rgen Becker}, editor = {Antonio Carlos Cavalcanti and Elmar U. K. Melcher and J{\"{u}}rgen Becker}, title = {Using dynamic partial reconfiguration to detect sees in microprocessors through non-intrusive hybrid technique}, booktitle = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI} '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011}, pages = {161--166}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2020876.2020914}, doi = {10.1145/2020876.2020914}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sbcci/AzambujaPAKHB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/KologeskiCKC11, author = {Anelise Kologeski and Caroline Concatto and Fernanda Lima Kastensmidt and Luigi Carro}, editor = {Antonio Carlos Cavalcanti and Elmar U. K. Melcher and J{\"{u}}rgen Becker}, title = {AdNoC case-study for Mpeg4 benchmark: improving performance and saving energy with an adaptive NoC}, booktitle = {24th Symposium on Integrated Circuits and Systems Design, {SBCCI} '11, Jo{\~{a}}o Pessoa, Brazil, August 30 - September 2, 2011}, pages = {209--214}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2020876.2020924}, doi = {10.1145/2020876.2020924}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbcci/KologeskiCKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/ConcattoKCKPS11, author = {Caroline Concatto and Anelise Kologeski and Luigi Carro and Fernanda Lima Kastensmidt and Gianluca Palermo and Cristina Silvano}, title = {Two-levels of adaptive buffer for virtual channel router in NoCs}, booktitle = {{IEEE/IFIP} 19th International Conference on {VLSI} and System-on-Chip, VLSI-SoC 2011, Kowloon, Hong Kong, China, October 3-5, 2011}, pages = {302--307}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/VLSISoC.2011.6081596}, doi = {10.1109/VLSISOC.2011.6081596}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/ConcattoKCKPS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/BastosSKRR10, author = {Rodrigo Possamai Bastos and Gilles Sicard and Fernanda Lima Kastensmidt and Marc Renaudin and Ricardo Reis}, title = {Asynchronous circuits as alternative for mitigation of long-duration transient faults in deep-submicron technologies}, journal = {Microelectron. Reliab.}, volume = {50}, number = {9-11}, pages = {1241--1246}, year = {2010}, url = {https://doi.org/10.1016/j.microrel.2010.07.014}, doi = {10.1016/J.MICROREL.2010.07.014}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/BastosSKRR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BastosSKRR10, author = {Rodrigo Possamai Bastos and Gilles Sicard and Fernanda Lima Kastensmidt and Marc Renaudin and Ricardo Reis}, title = {Evaluating transient-fault effects on traditional C-element's implementations}, booktitle = {16th {IEEE} International On-Line Testing Symposium {(IOLTS} 2010), 5-7 July, 2010, Corfu, Greece}, pages = {35--40}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IOLTS.2010.5560237}, doi = {10.1109/IOLTS.2010.5560237}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BastosSKRR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BragaCKL10, author = {Matheus Braga and {\'{E}}rika F. Cota and Fernanda Lima Kastensmidt and Marcelo Lubaszewski}, title = {Efficiently using data splitting and retransmission to tolerate faults in networks-on-chip interconnects}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4101--4104}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537611}, doi = {10.1109/ISCAS.2010.5537611}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BragaCKL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/AzambujaSRK10, author = {Jos{\'{e}} Rodrigo Azambuja and Fernando Sousa and Lucas Rosa and Fernanda Lima Kastensmidt}, title = {The limitations of software signature and basic block sizing in soft error fault coverage}, booktitle = {11th Latin American Test Workshop, {LATW} 2010, Punta del Este, Uruguay, March 28-30, 2010}, pages = {1--8}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/LATW.2010.5550346}, doi = {10.1109/LATW.2010.5550346}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/AzambujaSRK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/HerveAKCL10, author = {Marcos Herv{\'{e}} and Pedro Almeida and Fernanda Lima Kastensmidt and {\'{E}}rika F. Cota and Marcelo Lubaszewski}, title = {Concurrent test of Network-on-Chip interconnects and routers}, booktitle = {11th Latin American Test Workshop, {LATW} 2010, Punta del Este, Uruguay, March 28-30, 2010}, pages = {1--6}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/LATW.2010.5550355}, doi = {10.1109/LATW.2010.5550355}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/HerveAKCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/MatosCKCKSK10, author = {Debora Matos and Caroline Concatto and Anelise Kologeski and Luigi Carro and Fernanda Lima Kastensmidt and Altamiro Amadeu Susin and M{\'{a}}rcio Eduardo Kreutz}, editor = {Fadi J. Kurdahi and Jarmo Takala}, title = {Monitor-adapter coupling for {NOC} performance tuning}, booktitle = {Proceedings of the 2010 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation {(IC-SAMOS} 2010), Samos, Greece, July 19-22, 2010}, pages = {193--199}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICSAMOS.2010.5642065}, doi = {10.1109/ICSAMOS.2010.5642065}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/samos/MatosCKCKSK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/BotelhoKLCC10, author = {Mariza Botelho and Fernanda Lima Kastensmidt and Marcelo Lubaszewski and {\'{E}}rika F. Cota and Luigi Carro}, title = {A broad strategy to detect crosstalk faults in network-on-chip interconnects}, booktitle = {18th {IEEE/IFIP} VLSI-SoC 2010, {IEEE/IFIP} {WG} 10.5 International Conference on Very Large Scale Integration of System-on-Chip, Madrid, Spain, 27-29 September 2010}, pages = {298--303}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/VLSISOC.2010.5642677}, doi = {10.1109/VLSISOC.2010.5642677}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/BotelhoKLCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/BastosKR09, author = {Rodrigo Possamai Bastos and Fernanda Lima Kastensmidt and Ricardo Reis}, title = {Design of a soft-error robust microprocessor}, journal = {Microelectron. J.}, volume = {40}, number = {7}, pages = {1062--1068}, year = {2009}, url = {https://doi.org/10.1016/j.mejo.2008.10.001}, doi = {10.1016/J.MEJO.2008.10.001}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/BastosKR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arc/MatosCCKS09, author = {Debora Matos and Caroline Concatto and Luigi Carro and Fernanda Lima Kastensmidt and Altamiro Amadeu Susin}, editor = {J{\"{u}}rgen Becker and Roger F. Woods and Peter M. Athanas and Fearghal Morgan}, title = {The Need for Reconfigurable Routers in Networks-on-Chip}, booktitle = {Reconfigurable Computing: Architectures, Tools and Applications, 5th International Workshop, {ARC} 2009, Karlsruhe, Germany, March 16-18, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5453}, pages = {275--280}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-00641-8\_28}, doi = {10.1007/978-3-642-00641-8\_28}, timestamp = {Fri, 19 Jul 2019 13:02:47 +0200}, biburl = {https://dblp.org/rec/conf/arc/MatosCCKS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AbateSVK09, author = {Francesco Abate and Luca Sterpone and Massimo Violante and Fernanda Lima Kastensmidt}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {A study of the Single Event Effects impact on functional mapping within Flash-based FPGAs}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {1226--1229}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090850}, doi = {10.1109/DATE.2009.5090850}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/AbateSVK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BastosMSKRR09, author = {Rodrigo Possamai Bastos and Yannick Monnet and Gilles Sicard and Fernanda Lima Kastensmidt and Marc Renaudin and Ricardo Reis}, title = {Comparing transient-fault effects on synchronous and on asynchronous circuits}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {29--34}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195979}, doi = {10.1109/IOLTS.2009.5195979}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BastosMSKRR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ConcattoAKCLH09, author = {Caroline Concatto and Pedro Almeida and Fernanda Lima Kastensmidt and {\'{E}}rika F. Cota and Marcelo Lubaszewski and Marcos Herv{\'{e}}}, title = {Improving yield of torus nocs through fault-diagnosis-and-repair of interconnect faults}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {61--66}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195984}, doi = {10.1109/IOLTS.2009.5195984}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iolts/ConcattoAKCLH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AzambujaSRK09, author = {Jos{\'{e}} Rodrigo Azambuja and Fernando Sousa and Lucas Rosa and Fernanda Lima Kastensmidt}, title = {Evaluating large grain {TMR} and selective partial reconfiguration for soft error mitigation in SRAM-based FPGAs}, booktitle = {15th {IEEE} International On-Line Testing Symposium {(IOLTS} 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal}, pages = {101--106}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IOLTS.2009.5195990}, doi = {10.1109/IOLTS.2009.5195990}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AzambujaSRK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/ConcattoMCKSK09, author = {Caroline Concatto and Debora Matos and Luigi Carro and Fernanda Lima Kastensmidt and Altamiro Amadeu Susin and M{\'{a}}rcio Eduardo Kreutz}, title = {NoC Power Optimization Using a Reconfigurable Router}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2009, 13-15 May 2009, Tampa, Florida, {USA}}, pages = {235--240}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISVLSI.2009.7}, doi = {10.1109/ISVLSI.2009.7}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/ConcattoMCKSK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/AssisKW009, author = {Thiago Assis and Fernanda Lima Kastensmidt and Gilson I. Wirth and Ricardo Reis}, title = {Measuring the effectiveness of symmetric and asymmetric transistor sizing for Single Event Transient mitigation in {CMOS} 90nm technologies}, booktitle = {10th Latin American Test Workshop, {LATW} 2009, Rio de Janeiro, Brazil, March 2-5, 2009}, pages = {1--6}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/LATW.2009.4813789}, doi = {10.1109/LATW.2009.4813789}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/AssisKW009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/HerveCKL09, author = {Marcos Barcellos Herv{\'{e}} and {\'{E}}rika F. Cota and Fernanda Lima Kastensmidt and Marcelo Lubaszewski}, title = {NoC interconnection functional testing: Using boundary-scan to reduce the overall testing time}, booktitle = {10th Latin American Test Workshop, {LATW} 2009, Rio de Janeiro, Brazil, March 2-5, 2009}, pages = {1--6}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/LATW.2009.4813801}, doi = {10.1109/LATW.2009.4813801}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/HerveCKL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/MatosCKCKSK09, author = {Debora Matos and Caroline Concatto and Anelise Kologeski and Luigi Carro and Fernanda Lima Kastensmidt and Altamiro Amadeu Susin and M{\'{a}}rcio Eduardo Kreutz}, editor = {Maurizio Palesi and Shashi Kumar}, title = {Adaptive router architecture based on traffic behavior observability}, booktitle = {Second International Workshop on Network on Chip Architectures, NoCArc 2009, In conjunction with the 42nd Annual {IEEE/ACM} International Symposium on Microarchitecture (MICRO-42), December 12, 2009, New York, NY, {USA}}, pages = {17--22}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1645213.1645219}, doi = {10.1145/1645213.1645219}, timestamp = {Wed, 13 Feb 2019 11:42:26 +0100}, biburl = {https://dblp.org/rec/conf/micro/MatosCKCKSK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/HerveCKL09, author = {Marcos Herv{\'{e}} and {\'{E}}rika F. Cota and Fernanda Lima Kastensmidt and Marcelo Lubaszewski}, title = {Diagnosis of interconnect shorts in mesh NoCs}, booktitle = {Third International Symposium on Networks-on-Chips, {NOCS} 2009, May 10-13 2009, La Jolla, CA, {USA.} Proceedings}, pages = {256--265}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/NOCS.2009.5071475}, doi = {10.1109/NOCS.2009.5071475}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nocs/HerveCKL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/ConcattoMCKSCK09, author = {Caroline Concatto and Debora Matos and Luigi Carro and Fernanda Lima Kastensmidt and Altamiro Amadeu Susin and {\'{E}}rika F. Cota and M{\'{a}}rcio Eduardo Kreutz}, editor = {Ivan Saraiva Silva and Renato P. Ribas and Calvin Plett}, title = {Fault tolerant mechanism to improve yield in NoCs using a reconfigurable router}, booktitle = {Proceedings of the 22st Annual Symposium on Integrated Circuits and Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August 31 - September 3, 2009}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1601896.1601929}, doi = {10.1145/1601896.1601929}, timestamp = {Mon, 19 Nov 2018 09:09:09 +0100}, biburl = {https://dblp.org/rec/conf/sbcci/ConcattoMCKSCK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/PetroliLKC08, author = {Lorenzo Petroli and Carlos Arthur Lang Lisb{\^{o}}a and Fernanda Lima Kastensmidt and Luigi Carro}, title = {Majority Logic Mapping for Soft Error Dependability}, journal = {J. Electron. Test.}, volume = {24}, number = {1-3}, pages = {83--92}, year = {2008}, url = {https://doi.org/10.1007/s10836-007-5044-0}, doi = {10.1007/S10836-007-5044-0}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/PetroliLKC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/NetoWK08, author = {Egas Henes Neto and Gilson I. Wirth and Fernanda Lima Kastensmidt}, title = {Mitigating Soft Errors in {SRAM} Address Decoders Using Built-in Current Sensors}, journal = {J. Electron. Test.}, volume = {24}, number = {5}, pages = {425--437}, year = {2008}, url = {https://doi.org/10.1007/s10836-007-5056-9}, doi = {10.1007/S10836-007-5056-9}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/NetoWK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/WirthVNK08, author = {Gilson I. Wirth and Michele G. Vieira and Egas Henes Neto and Fernanda Lima Kastensmidt}, title = {Modeling the sensitivity of {CMOS} circuits to radiation induced single event transients}, journal = {Microelectron. Reliab.}, volume = {48}, number = {1}, pages = {29--36}, year = {2008}, url = {https://doi.org/10.1016/j.microrel.2007.01.085}, doi = {10.1016/J.MICROREL.2007.01.085}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mr/WirthVNK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/CotaKCHAMAL08, author = {{\'{E}}rika F. Cota and Fernanda Gusm{\~{a}}o de Lima Kastensmidt and Maico Cassel and Marcos Herv{\'{e}} and Pedro Almeida and Paulo Meirelles and Alexandre M. Amory and Marcelo Lubaszewski}, title = {A High-Fault-Coverage Approach for the Test of Data, Control and Handshake Interconnects in Mesh Networks-on-Chip}, journal = {{IEEE} Trans. Computers}, volume = {57}, number = {9}, pages = {1202--1215}, year = {2008}, url = {https://doi.org/10.1109/TC.2008.62}, doi = {10.1109/TC.2008.62}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/CotaKCHAMAL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/LisboaKC08, author = {Carlos Arthur Lang Lisb{\^{o}}a and Fernanda Gusm{\~{a}}o de Lima Kastensmidt and Luigi Carro}, editor = {Alex Ram{\'{\i}}rez and Gianfranco Bilardi and Michael Gschwind}, title = {Analyzing the effects of the granularity of recomputation based techniques to cope with radiation induced soft errors}, booktitle = {Proceedings of the 5th Conference on Computing Frontiers, 2008, Ischia, Italy, May 5-7, 2008}, pages = {329--338}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366224.1366226}, doi = {10.1145/1366224.1366226}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cf/LisboaKC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/PilottoAK08, author = {Conrado Pilotto and Jos{\'{e}} Rodrigo Azambuja and Fernanda Lima Kastensmidt}, editor = {Marcelo Lubaszewski and Michel Renovell and Rajesh K. Gupta}, title = {Synchronizing triple modular redundant designs in dynamic partial reconfiguration applications}, booktitle = {Proceedings of the 21st Annual Symposium on Integrated Circuits and Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008}, pages = {199--204}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1404371.1404426}, doi = {10.1145/1404371.1404426}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbcci/PilottoAK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cf/2008wreft, editor = {Fernanda Lima Kastensmidt and Greg Bronevetsky}, title = {Proceedings of the 2008 Workshop on Radiation Effects and Fault Tolerance in Nanometer Technologies, {WREFT} '08, Ischia, Italy, May 5-7, 2008}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366224}, doi = {10.1145/1366224}, isbn = {978-1-60558-092-0}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cf/2008wreft.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/FrantzCKCC07, author = {Arthur Pereira Frantz and Maico Cassel and Fernanda Lima Kastensmidt and {\'{E}}rika F. Cota and Luigi Carro}, title = {Crosstalk- and SEU-Aware Networks on Chips}, journal = {{IEEE} Des. Test Comput.}, volume = {24}, number = {4}, pages = {340--350}, year = {2007}, url = {https://doi.org/10.1109/MDT.2007.128}, doi = {10.1109/MDT.2007.128}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/FrantzCKCC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/SterponeRVKC07, author = {Luca Sterpone and Matteo Sonza Reorda and Massimo Violante and Fernanda Lima Kastensmidt and Luigi Carro}, title = {Evaluating Different Solutions to Design Fault Tolerant Systems with SRAM-based FPGAs}, journal = {J. Electron. Test.}, volume = {23}, number = {1}, pages = {47--54}, year = {2007}, url = {https://doi.org/10.1007/s10836-006-0403-9}, doi = {10.1007/S10836-006-0403-9}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/SterponeRVKC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cds/WirthVK07, author = {Gilson I. Wirth and Michele G. Vieira and Fernanda Gusm{\~{a}}o de Lima Kastensmidt}, title = {Accurate and computer efficient modelling of single event transients in {CMOS} circuits}, journal = {{IET} Circuits Devices Syst.}, volume = {1}, number = {2}, pages = {137--142}, year = {2007}, url = {https://doi.org/10.1049/iet-cds:20050210}, doi = {10.1049/IET-CDS:20050210}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cds/WirthVK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FreitasCKN07, author = {Henrique C. Freitas and Dalton M. Colombo and Fernanda Lima Kastensmidt and Philippe Olivier Alexandre Navaux}, title = {Evaluating Network-on-Chip for Homogeneous Embedded Multiprocessors in FPGAs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2007), 27-20 May 2007, New Orleans, Louisiana, {USA}}, pages = {3776--3779}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISCAS.2007.378783}, doi = {10.1109/ISCAS.2007.378783}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FreitasCKN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/BalenKLR07, author = {Tiago R. Balen and Fernanda Lima Kastensmidt and Marcelo Lubaszewski and Michel Renovell}, title = {Single Event Upset in SRAM-based Field Programmable Analog Arrays: Effects and Mitigation}, booktitle = {2007 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI} 2007), May 9-11, 2007, Porto Alegre, Brazil}, pages = {192--197}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISVLSI.2007.91}, doi = {10.1109/ISVLSI.2007.91}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/BalenKLR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/CotaKCMAL07, author = {{\'{E}}rika F. Cota and Fernanda Lima Kastensmidt and Maico Cassel and Paulo Meirelles and Alexandre M. Amory and Marcelo Lubaszewski}, editor = {Jill Sibert and Janusz Rajski}, title = {Redefining and testing interconnect faults in Mesh NoCs}, booktitle = {2007 {IEEE} International Test Conference, {ITC} 2007, Santa Clara, California, USA, October 21-26, 2007}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/TEST.2007.4437574}, doi = {10.1109/TEST.2007.4437574}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/CotaKCMAL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LisboaKNWC07, author = {Carlos Arthur Lang Lisb{\^{o}}a and Fernanda Lima Kastensmidt and Egas Henes Neto and Gilson I. Wirth and Luigi Carro}, editor = {Jill Sibert and Janusz Rajski}, title = {Using built-in sensors to cope with long duration transient faults in future technologies}, booktitle = {2007 {IEEE} International Test Conference, {ITC} 2007, Santa Clara, California, USA, October 21-26, 2007}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/TEST.2007.4437631}, doi = {10.1109/TEST.2007.4437631}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LisboaKNWC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/NetoKW07, author = {Egas Henes Neto and Fernanda Lima Kastensmidt and Gilson I. Wirth}, editor = {Antonio Petraglia and Volnei A. Pedroni and Gert Cauwenberghs}, title = {A built-in current sensor for high speed soft errors detection robust to process and temperature variations}, booktitle = {Proceedings of the 20th Annual Symposium on Integrated Circuits and Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil, September 3-6, 2007}, pages = {190--195}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1284480.1284534}, doi = {10.1145/1284480.1284534}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sbcci/NetoKW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/PetroliLKC07, author = {Lorenzo Petroli and Carlos Arthur Lang Lisb{\^{o}}a and Fernanda Lima Kastensmidt and Luigi Carro}, editor = {Antonio Petraglia and Volnei A. Pedroni and Gert Cauwenberghs}, title = {Using majority logic to cope with long duration transient faults}, booktitle = {Proceedings of the 20th Annual Symposium on Integrated Circuits and Systems Design, {SBCCI} 2007, Copacabana, Rio de Janeiro, Brazil, September 3-6, 2007}, pages = {354--359}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1284480.1284572}, doi = {10.1145/1284480.1284572}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbcci/PetroliLKC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/NeubergerWKR07, author = {Gustavo Neuberger and Gilson I. Wirth and Fernanda Gusm{\~{a}}o de Lima Kastensmidt and Ricardo Reis}, title = {Statistical Analysis of Normality of Systematic and Random Variability of Flip-Flop Race Immunity in 130nm and 90nm {CMOS} Technologies}, booktitle = {VLSI-SoC: Advanced Topics on Systems on a Chip - {A} Selection of Extended Versions of the Best Papers of the Fourteenth International Conference on Very Large Scale Integration of System on Chip (VLSI-SoC2007), October 15-17, 2007, Atlanta, {USA}}, series = {{IFIP}}, volume = {291}, pages = {1--16}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-0-387-89558-1\_1}, doi = {10.1007/978-0-387-89558-1\_1}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/NeubergerWKR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/NeubergerKRWBP07, author = {Gustavo Neuberger and Fernanda Gusm{\~{a}}o de Lima Kastensmidt and Ricardo Reis and Gilson I. Wirth and Ralf Brederlow and Christian Pacha}, title = {Statistical analysis of systematic and random variability of flip-flop race immunity in 130nm and 90nm {CMOS} technologies}, booktitle = {{IFIP} VLSI-SoC 2007, {IFIP} {WG} 10.5 International Conference on Very Large Scale Integration of System-on-Chip, Atlanta, GA, USA, 15-17 October 2007}, pages = {78--83}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/VLSISOC.2007.4402476}, doi = {10.1109/VLSISOC.2007.4402476}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/NeubergerKRWBP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/HamerskiRK07, author = {Jean Carlo Hamerski and Everton Reckziegel and Fernanda Lima Kastensmidt}, title = {Evaluating memory sharing data size and {TCP} connections in the performance of a reconfigurable hardware-based architecture for {TCP/IP} stack}, booktitle = {{IFIP} VLSI-SoC 2007, {IFIP} {WG} 10.5 International Conference on Very Large Scale Integration of System-on-Chip, Atlanta, GA, USA, 15-17 October 2007}, pages = {212--217}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/VLSISOC.2007.4402500}, doi = {10.1109/VLSISOC.2007.4402500}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/HamerskiRK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-0710-4688, author = {Fernanda Lima Kastensmidt and Luca Sterpone and Luigi Carro and Matteo Sonza Reorda}, title = {On the Optimal Design of Triple Modular Redundancy Logic for SRAM-based FPGAs}, journal = {CoRR}, volume = {abs/0710.4688}, year = {2007}, url = {http://arxiv.org/abs/0710.4688}, eprinttype = {arXiv}, eprint = {0710.4688}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-0710-4688.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/NetoRVWK06, author = {Egas Henes Neto and Ivandro Ribeiro and Michele G. Vieira and Gilson I. Wirth and Fernanda Lima Kastensmidt}, title = {Using Bulk Built-in Current Sensors to Detect Soft Errors}, journal = {{IEEE} Micro}, volume = {26}, number = {5}, pages = {10--18}, year = {2006}, url = {https://doi.org/10.1109/MM.2006.103}, doi = {10.1109/MM.2006.103}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/NetoRVWK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ddecs/WirthVNK06, author = {Gilson I. Wirth and Michele G. Vieira and Egas Henes Neto and Fernanda Gusm{\~{a}}o de Lima Kastensmidt}, editor = {Matteo Sonza Reorda and Ondrej Nov{\'{a}}k and Bernd Straube and Hana Kub{\'{a}}tov{\'{a}} and Zdenek Kot{\'{a}}sek and Pavel Kubal{\'{\i}}k and Raimund Ubar and Jir{\'{\i}} Bucek}, title = {Generation and Propagation of Single Event Transients in {CMOS} Circuits}, booktitle = {Proceedings of the 9th {IEEE} Workshop on Design {\&} Diagnostics of Electronic Circuits {\&} Systems {(DDECS} 2006), Prague, Czech Republic, April 18-21, 2006}, pages = {198--203}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/DDECS.2006.1649611}, doi = {10.1109/DDECS.2006.1649611}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ddecs/WirthVNK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/MichelsPLKC06, author = {{\'{A}}lisson Michels and Lorenzo Petroli and Carlos Arthur Lang Lisb{\^{o}}a and Fernanda Gusm{\~{a}}o de Lima Kastensmidt and Luigi Carro}, title = {{SET} Fault Tolerant Combinational Circuits Based on Majority Logic}, booktitle = {21th {IEEE} International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} 2006), 4-6 October 2006, Arlington, Virginia, {USA}}, pages = {345--352}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/DFT.2006.59}, doi = {10.1109/DFT.2006.59}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/MichelsPLKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/CasselK06, author = {Maico Cassel and Fernanda Lima Kastensmidt}, title = {Evaluating One-Hot Encoding Finite State Machines for {SEU} Reliability in SRAM-based FPGAs}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {139--144}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.32}, doi = {10.1109/IOLTS.2006.32}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/CasselK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/FrantzCCK06, author = {Arthur Pereira Frantz and Luigi Carro and {\'{E}}rika F. Cota and Fernanda Lima Kastensmidt}, title = {Evaluating {SEU} and Crosstalk Effects in Network-on-Chip Routers}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {191--192}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.33}, doi = {10.1109/IOLTS.2006.33}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/FrantzCCK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BastosKR06, author = {Rodrigo Possamai Bastos and Fernanda Lima Kastensmidt and Ricardo Reis}, title = {Design of a Robust 8-Bit Microprocessor to Soft Errors}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {195--196}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.21}, doi = {10.1109/IOLTS.2006.21}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BastosKR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/FrantzKCC06, author = {Arthur Pereira Frantz and Fernanda Lima Kastensmidt and Luigi Carro and {\'{E}}rika F. Cota}, editor = {Scott Davidson and Anne Gattiker}, title = {Dependable Network-on-Chip Router Able to Simultaneously Tolerate Soft Errors and Crosstalk}, booktitle = {2006 {IEEE} International Test Conference, {ITC} 2006, Santa Clara, CA, USA, October 22-27, 2006}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/TEST.2006.297635}, doi = {10.1109/TEST.2006.297635}, timestamp = {Tue, 12 Dec 2023 09:46:27 +0100}, biburl = {https://dblp.org/rec/conf/itc/FrantzKCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/FrantzK06, author = {Arthur Pereira Frantz and Fernanda Lima Kastensmidt}, title = {{SEU} Effects Evaluation on a NoC Router Architecture}, booktitle = {7th Latin American Test Workshop, {LATW} 2006, Buenos Aires, Argentina, March 26-29, 2006}, pages = {117--122}, publisher = {{IEEE}}, year = {2006}, timestamp = {Thu, 27 Jul 2023 13:45:38 +0200}, biburl = {https://dblp.org/rec/conf/latw/FrantzK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/WirthRVK06, author = {Gilson I. Wirth and Ivandro Ribeiro and Michele G. Vieira and Fernanda Gusm{\~{a}}o de Lima Kastensmidt}, editor = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and Ricardo P. Jacobi and J{\"{u}}rgen Becker}, title = {Single event transients in dynamic logic}, booktitle = {Proceedings of the 19th Annual Symposium on Integrated Circuits and Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 - September 1, 2006}, pages = {184--189}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1150343.1150392}, doi = {10.1145/1150343.1150392}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sbcci/WirthRVK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/BastosKR06, author = {Rodrigo Possamai Bastos and Fernanda Lima Kastensmidt and Ricardo Reis}, editor = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and Ricardo P. Jacobi and J{\"{u}}rgen Becker}, title = {Design at high level of a robust 8-bit microprocessor to soft errors by using only standard gates}, booktitle = {Proceedings of the 19th Annual Symposium on Integrated Circuits and Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 - September 1, 2006}, pages = {196--201}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1150343.1150394}, doi = {10.1145/1150343.1150394}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sbcci/BastosKR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/FrantzKCC06, author = {Arthur Pereira Frantz and Fernanda Lima Kastensmidt and Luigi Carro and {\'{E}}rika F. Cota}, editor = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and Ricardo P. Jacobi and J{\"{u}}rgen Becker}, title = {Evaluation of {SEU} and crosstalk effects in network-on-chip switches}, booktitle = {Proceedings of the 19th Annual Symposium on Integrated Circuits and Systems Design, {SBCCI} 2006, Ouro Preto, MG, Brazil, August 28 - September 1, 2006}, pages = {202--207}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1150343.1150395}, doi = {10.1145/1150343.1150395}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbcci/FrantzKCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/NeubergerKR05, author = {Gustavo Neuberger and Fernanda Gusm{\~{a}}o de Lima Kastensmidt and Ricardo Reis}, title = {An Automatic Technique for Optimizing Reed-Solomon Codes to Improve Fault Tolerance in Memories}, journal = {{IEEE} Des. Test Comput.}, volume = {22}, number = {1}, pages = {50--58}, year = {2005}, url = {https://doi.org/10.1109/MDT.2005.2}, doi = {10.1109/MDT.2005.2}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/NeubergerKR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rita/KastensmidtNCR05, author = {Fernanda Lima Kastensmidt and Gustavo Neuberger and Luigi Carro and Ricardo Reis}, title = {Desenvolvimento de T{\'{e}}cnicas de Toler{\^{a}}ncia {\`{a}} Falhas para Componentes Program{\'{a}}veis por {SRAM}}, journal = {{RITA}}, volume = {12}, number = {1}, pages = {47--60}, year = {2005}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/rita/KastensmidtNCR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KastensmidtSCR05, author = {Fernanda Lima Kastensmidt and Luca Sterpone and Luigi Carro and Matteo Sonza Reorda}, title = {On the Optimal Design of Triple Modular Redundancy Logic for SRAM-based FPGAs}, booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2005), 7-11 March 2005, Munich, Germany}, pages = {1290--1295}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/DATE.2005.229}, doi = {10.1109/DATE.2005.229}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KastensmidtSCR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iess/NeubergerKR05, author = {Gustavo Neuberger and Fernanda Lima Kastensmidt and Ricardo Reis}, editor = {Achim Rettberg and Mauro Cesar Zanella and Franz J. Rammig}, title = {{TOC-BISR:} {A} Self-Repair Scheme for Memories in Embedded Systems}, booktitle = {From Specification to Embedded Systems Application [International Embedded Systems Symposium, {IESS} 2005, Manaus, Brazil, August 2005]}, series = {{IFIP}}, volume = {184}, pages = {157--168}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11523277\_16}, doi = {10.1007/11523277\_16}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iess/NeubergerKR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/BastosKR05, author = {Rodrigo Possamai Bastos and Fernanda Lima Kastensmidt and Ricardo Reis}, editor = {Vassilis Paliouras and Johan Vounckx and Diederik Verkest}, title = {Designing Low-Power Embedded Software for Mass-Produced Microprocessor by Using a Loop Table in On-Chip Memory}, booktitle = {Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation, 15th International Workshop, {PATMOS} 2005, Leuven, Belgium, September 21-23, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3728}, pages = {59--68}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11556930\_7}, doi = {10.1007/11556930\_7}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/patmos/BastosKR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/NetoRVWK05, author = {Egas Henes Neto and Ivandro Ribeiro and Michele G. Vieira and Gilson I. Wirth and Fernanda Lima Kastensmidt}, editor = {Carlos Galup{-}Montoro and Sergio Bampi and Alex Orailoglu}, title = {Evaluating fault coverage of bulk built-in current sensor for soft errors in combinational and sequential logic}, booktitle = {Proceedings of the 18th Annual Symposium on Integrated Circuits and Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7, 2005}, pages = {62--67}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1081081.1081103}, doi = {10.1145/1081081.1081103}, timestamp = {Fri, 03 Jun 2022 10:52:19 +0200}, biburl = {https://dblp.org/rec/conf/sbcci/NetoRVWK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/WirthVNK05, author = {Gilson I. Wirth and Michele G. Vieira and Egas Henes Neto and Fernanda Gusm{\~{a}}o de Lima Kastensmidt}, editor = {Carlos Galup{-}Montoro and Sergio Bampi and Alex Orailoglu}, title = {Single event transients in combinatorial circuits}, booktitle = {Proceedings of the 18th Annual Symposium on Integrated Circuits and Systems Design, {SBCCI} 2005, Florianolpolis, Brazil, September 4-7, 2005}, pages = {121--126}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1081081.1081115}, doi = {10.1145/1081081.1081115}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbcci/WirthVNK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KastensmidtNHCR04, author = {Fernanda Lima Kastensmidt and Gustavo Neuberger and Renato Fernandes Hentschke and Luigi Carro and Ricardo Reis}, title = {Designing Fault-Tolerant Techniques for SRAM-Based FPGAs}, journal = {{IEEE} Des. Test Comput.}, volume = {21}, number = {6}, pages = {552--562}, year = {2004}, url = {https://doi.org/10.1109/MDT.2004.85}, doi = {10.1109/MDT.2004.85}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KastensmidtNHCR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/ReisKG04, author = {Ricardo Reis and Fernanda Lima Kastensmidt and Jos{\'{e}} Lu{\'{\i}}s Almada G{\"{u}}ntzel}, editor = {Stamatis Vassiliadis and Jean{-}Luc Gaudiot and Vincenzo Piuri}, title = {Physical design methodologies for performance predictability and manufacturability}, booktitle = {Proceedings of the First Conference on Computing Frontiers, 2004, Ischia, Italy, April 14-16, 2004}, pages = {390--397}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/977091.977147}, doi = {10.1145/977091.977147}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cf/ReisKG04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/KastensmidtNCR04, author = {Fernanda Lima Kastensmidt and Gustavo Neuberger and Luigi Carro and Ricardo Reis}, editor = {Stamatis Vassiliadis and Jean{-}Luc Gaudiot and Vincenzo Piuri}, title = {Designing and testing fault-tolerant techniques for SRAM-based FPGAs}, booktitle = {Proceedings of the First Conference on Computing Frontiers, 2004, Ischia, Italy, April 14-16, 2004}, pages = {419--432}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/977091.977150}, doi = {10.1145/977091.977150}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cf/KastensmidtNCR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/NeubergerLCR03, author = {Gustavo Neuberger and Fernanda Gusm{\~{a}}o de Lima Kastensmidt and Luigi Carro and Ricardo Augusto da Luz Reis}, title = {A multiple bit upset tolerant {SRAM} memory}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {8}, number = {4}, pages = {577--590}, year = {2003}, url = {https://doi.org/10.1145/944027.944038}, doi = {10.1145/944027.944038}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/NeubergerLCR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LimaCR03, author = {Fernanda Lima and Luigi Carro and Ricardo Augusto da Luz Reis}, title = {Designing fault tolerant systems into SRAM-based FPGAs}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {650--655}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775997}, doi = {10.1145/775832.775997}, timestamp = {Mon, 24 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LimaCR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/LimaCR03, author = {Fernanda Lima and Luigi Carro and Ricardo Augusto da Luz Reis}, editor = {Steve Trimberger and Russell Tessier}, title = {Reducing pin and area overhead in fault-tolerant FPGA-based designs}, booktitle = {Proceedings of the {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, {FPGA} 2003, Monterey, CA, USA, February 23-25, 2003}, pages = {108--117}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/611817.611834}, doi = {10.1145/611817.611834}, timestamp = {Mon, 24 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpga/LimaCR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LimaCVR02, author = {Fernanda Gusm{\~{a}}o de Lima Kastensmidt and Luigi Carro and Raoul Velazco and Ricardo Augusto da Luz Reis}, title = {Injecting Multiple Upsets in a {SEU} Tolerant 8051 Micro-Controller}, booktitle = {8th {IEEE} International On-Line Testing Workshop {(IOLTW} 2002), 8-10 July 2002, Isle of Bendor, France}, pages = {194}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/OLT.2002.1030217}, doi = {10.1109/OLT.2002.1030217}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/LimaCVR02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/0001CV002, author = {Fernanda Lima and Luigi Carro and Raul Velazco and Ricardo Reis}, title = {Injecting Multiple Upsets in a {SEU} tolerant 8051 Micro-controller}, booktitle = {3rd Latin American Test Workshop, {LATW} 2002, Montevideo, Uruguay, February 10-13, 2002}, pages = {120--125}, publisher = {{IEEE}}, year = {2002}, timestamp = {Wed, 26 Jul 2023 15:57:25 +0200}, biburl = {https://dblp.org/rec/conf/latw/0001CV002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/Hentschke0LCS002, author = {Renato Fernandes Hentschke and Felipe S. Marques and Fernanda Lima and Luigi Carro and Altamiro Amadeu Susin and Ricardo Reis}, title = {Analyzing Area and Performance Penalty of Protecting Different Digital Modules with Hamming Code and Triple Modular Redundancy}, booktitle = {Proceedings of the 15th Annual Symposium on Integrated Circuits and Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14, 2002}, pages = {95--100}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://dl.acm.org/doi/10.5555/827246.827386}, doi = {10.5555/827246.827386}, timestamp = {Mon, 24 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sbcci/Hentschke0LCS002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/CotaLRCVLR01, author = {{\'{E}}rika F. Cota and Fernanda Lima and Sana Rezgui and Luigi Carro and Raoul Velazco and Marcelo Lubaszewski and Ricardo Reis}, title = {Synthesis of an 8051-Like Micro-Controller Tolerant to Transient Faults}, journal = {J. Electron. Test.}, volume = {17}, number = {2}, pages = {149--161}, year = {2001}, url = {https://doi.org/10.1023/A:1011125927317}, doi = {10.1023/A:1011125927317}, timestamp = {Mon, 24 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/CotaLRCVLR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LimaBRBR00, author = {Fernanda Gusm{\~{a}}o de Lima and Marcelo Barcelos and Juergen Rochol and Sergio Bampi and Ricardo Reis}, title = {A frame stream controller {IP}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {721--724}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857601}, doi = {10.1109/ISCAS.2000.857601}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LimaBRBR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/LimaDML000, author = {Fernanda Gusm{\~{a}}o de Lima and Eduardo D'Avila and Mauricio Moraes and Marcelo Lubaszewski and Ricardo Reis}, title = {A Self-Testing Mask Programmable Matrix Using Built-in Current Sensing}, booktitle = {1st Latin American Test Workshop, {LATW} 2000, Rio de Janeiro, RJ, Brazil, March 13-15, 2000}, pages = {15--19}, publisher = {{IEEE}}, year = {2000}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/LimaDML000.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/LimaCCL0VR00, author = {Fernanda Gusm{\~{a}}o de Lima and {\'{E}}rika F. Cota and Luigi Carro and Marcelo Lubaszewski and Ricardo Reis and Raoul Velazco and Sana Rezgui}, title = {Designing a Radiation Hardened 8051-Like Micro-Controller}, booktitle = {Proceedings of the 13th Annual Symposium on Integrated Circuits and Systems Design, {SBCCI} 2000, Manaus, Brazil, September 18-24, 2000}, pages = {255--262}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://dl.acm.org/doi/10.5555/827245.827277}, doi = {10.5555/827245.827277}, timestamp = {Fri, 03 Jun 2022 10:50:13 +0200}, biburl = {https://dblp.org/rec/conf/sbcci/LimaCCL0VR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip10-5/LimaJGDCR99, author = {Fernanda Lima and Marcelo O. Johann and Jos{\'{e}} Lu{\'{\i}}s Almada G{\"{u}}ntzel and Eduardo D'Avila and Luigi Carro and Ricardo Augusto da Luz Reis}, editor = {L. Miguel Silveira and Srinivas Devadas and Ricardo Augusto da Luz Reis}, title = {Designing a Mask Programmable Matrix for Sequential Circuits}, booktitle = {{VLSI:} Systems on a Chip, {IFIP} {TC10/WG10.5} Tenth International Conference on Very Large Scale Integration {(VLSI} '99), December 1-4, 1999, Lisbon, Portugal}, series = {{IFIP} Conference Proceedings}, volume = {162}, pages = {439--446}, publisher = {Kluwer}, year = {1999}, timestamp = {Mon, 24 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip10-5/LimaJGDCR99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.