BibTeX records: Rouwaida Kanj

download as .bib file

@article{DBLP:journals/tbcas/ShafiCKTRKRE23,
  author       = {Nader Shafi and
                  Joseph Costantine and
                  Rouwaida Kanj and
                  Youssef Tawk and
                  Ali Ramadan and
                  Mazen Kurban and
                  Jihane Abou Rahal and
                  Assaad A. Eid},
  title        = {A Portable Non-Invasive Electromagnetic Lesion-Optimized Sensing Device
                  for the Diagnosis of Skin Cancer (SkanMD)},
  journal      = {{IEEE} Trans. Biomed. Circuits Syst.},
  volume       = {17},
  number       = {3},
  pages        = {558--573},
  year         = {2023},
  url          = {https://doi.org/10.1109/TBCAS.2023.3260581},
  doi          = {10.1109/TBCAS.2023.3260581},
  timestamp    = {Sat, 05 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tbcas/ShafiCKTRKRE23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ShaerKJ23,
  author       = {Lama Shaer and
                  Rouwaida Kanj and
                  Rajiv V. Joshi},
  title        = {A Best Balance Ratio Ordered Feature Selection Methodology for Robust
                  and Fast Statistical Analysis of Memory Designs},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {42},
  number       = {6},
  pages        = {1742--1755},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCAD.2022.3213762},
  doi          = {10.1109/TCAD.2022.3213762},
  timestamp    = {Fri, 02 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ShaerKJ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tetc/RakkaFKK23,
  author       = {Mariam Rakka and
                  Mohammed E. Fouda and
                  Rouwaida Kanj and
                  Fadi J. Kurdahi},
  title        = {{DT2CAM:} {A} Decision Tree to Content Addressable Memory Framework},
  journal      = {{IEEE} Trans. Emerg. Top. Comput.},
  volume       = {11},
  number       = {3},
  pages        = {805--810},
  year         = {2023},
  url          = {https://doi.org/10.1109/TETC.2023.3261748},
  doi          = {10.1109/TETC.2023.3261748},
  timestamp    = {Sun, 24 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tetc/RakkaFKK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/NoureddineBTKCF23,
  author       = {Hadi Noureddine and
                  Omar Bekdache and
                  Mohamad Al Tawil and
                  Rouwaida Kanj and
                  Ali Chehab and
                  Mohammed E. Fouda and
                  Ahmed M. Eltawil},
  editor       = {Himanshu Thapliyal and
                  Ronald F. DeMara and
                  Inna Partin{-}Vaisband and
                  Srinivas Katkoori},
  title        = {High-Density FeFET-based {CAM} Cell Design Via Multi-Dimensional Encoding},
  booktitle    = {Proceedings of the Great Lakes Symposium on {VLSI} 2023, {GLSVLSI}
                  2023, Knoxville, TN, USA, June 5-7, 2023},
  pages        = {403--407},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3583781.3590301},
  doi          = {10.1145/3583781.3590301},
  timestamp    = {Thu, 15 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/NoureddineBTKCF23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BazziFKE23,
  author       = {Jinane Bazzi and
                  Mohammed E. Fouda and
                  Rouwaida Kanj and
                  Ahmed M. Eltawil},
  title        = {Hardware Acceleration of {DNA} Pattern Matching with Binary Memristors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023,
                  Monterey, CA, USA, May 21-25, 2023},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISCAS46773.2023.10181367},
  doi          = {10.1109/ISCAS46773.2023.10181367},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BazziFKE23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BekdacheETKFE23,
  author       = {Omar Bekdache and
                  Hadi Noureddine and
                  Mohamad Al Tawil and
                  Rouwaida Kanj and
                  Mohamed E. Fouda and
                  Ahmed M. Eltawil},
  title        = {Scalable Complementary FeFET {CAM} Design},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023,
                  Monterey, CA, USA, May 21-25, 2023},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISCAS46773.2023.10181788},
  doi          = {10.1109/ISCAS46773.2023.10181788},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BekdacheETKFE23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/AmerRKSSKK23,
  author       = {Walaa Amer and
                  Mariam Rakka and
                  Rachid Karami and
                  Minjun Seo and
                  Mazen A. R. Saghir and
                  Rouwaida Kanj and
                  Fadi J. Kurdahi},
  title        = {Hardware Implementation and Evaluation of an Information Processing
                  Factory},
  booktitle    = {31st {IFIP/IEEE} International Conference on Very Large Scale Integration,
                  VLSI-SoC 2023, Dubai, United Arab Emirates, October 16-18, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/VLSI-SoC57769.2023.10321939},
  doi          = {10.1109/VLSI-SOC57769.2023.10321939},
  timestamp    = {Wed, 06 Dec 2023 13:14:06 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/AmerRKSSKK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2309-11462,
  author       = {Mohamad Fakih and
                  Rouwaida Kanj and
                  Fadi J. Kurdahi and
                  Mohammed E. Fouda},
  title        = {AudioFool: Fast, Universal and synchronization-free Cross-Domain Attack
                  on Speech Recognition},
  journal      = {CoRR},
  volume       = {abs/2309.11462},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2309.11462},
  doi          = {10.48550/ARXIV.2309.11462},
  eprinttype    = {arXiv},
  eprint       = {2309.11462},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2309-11462.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ShaerKJC22,
  author       = {Lama Shaer and
                  Rouwaida Kanj and
                  Rajiv V. Joshi and
                  Ali Chehab},
  title        = {Group LARS-Based Iterative Reweighted Least Squares Methodology for
                  Efficient Statistical Modeling of Memory Designs},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {41},
  number       = {12},
  pages        = {5722--5726},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCAD.2022.3149724},
  doi          = {10.1109/TCAD.2022.3149724},
  timestamp    = {Mon, 05 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/ShaerKJC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MouallemFBHJBK22,
  author       = {Aya Mouallem and
                  Hussein Fadlallah and
                  Lina Bacha and
                  Dana El Hajj and
                  Rachid Jamil and
                  Dana Bazazo and
                  Rouwaida Kanj},
  title        = {1T1R In-Memory Compute for Winner Takes All Application in Kohonen
                  Neural Networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2022,
                  Austin, TX, USA, May 27 - June 1, 2022},
  pages        = {1561--1565},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISCAS48785.2022.9937656},
  doi          = {10.1109/ISCAS48785.2022.9937656},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MouallemFBHJBK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2203-02500,
  author       = {Jinane Bazzi and
                  Jana Sweidan and
                  Mohammed E. Fouda and
                  Rouwaida Kanj and
                  Ahmed M. Eltawil},
  title        = {Efficient Analog {CAM} Design},
  journal      = {CoRR},
  volume       = {abs/2203.02500},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2203.02500},
  doi          = {10.48550/ARXIV.2203.02500},
  eprinttype    = {arXiv},
  eprint       = {2203.02500},
  timestamp    = {Wed, 16 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2203-02500.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2204-06114,
  author       = {Mariam Rakka and
                  Mohammed E. Fouda and
                  Rouwaida Kanj and
                  Fadi J. Kurdahi},
  title        = {{DT2CAM:} {A} Decision Tree to Content Addressable Memory Framework},
  journal      = {CoRR},
  volume       = {abs/2204.06114},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2204.06114},
  doi          = {10.48550/ARXIV.2204.06114},
  eprinttype    = {arXiv},
  eprint       = {2204.06114},
  timestamp    = {Tue, 19 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2204-06114.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2205-15505,
  author       = {Jinane Bazzi and
                  Jana Sweidan and
                  Mohammed E. Fouda and
                  Rouwaida Kanj and
                  Ahmed M. Eltawil},
  title        = {{DNA} Pattern Matching Acceleration with Analog Resistive {CAM}},
  journal      = {CoRR},
  volume       = {abs/2205.15505},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2205.15505},
  doi          = {10.48550/ARXIV.2205.15505},
  eprinttype    = {arXiv},
  eprint       = {2205.15505},
  timestamp    = {Wed, 01 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2205-15505.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasII/RakkaFKEK21,
  author       = {Mariam Rakka and
                  Mohamed E. Fouda and
                  Rouwaida Kanj and
                  Ahmed M. Eltawil and
                  Fadi J. Kurdahi},
  title        = {Design Exploration of Sensing Techniques in 2T-2R Resistive Ternary
                  CAMs},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {68},
  number       = {2},
  pages        = {762--766},
  year         = {2021},
  url          = {https://doi.org/10.1109/TCSII.2020.3017477},
  doi          = {10.1109/TCSII.2020.3017477},
  timestamp    = {Fri, 19 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcasII/RakkaFKEK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/winet/NouraMKC21,
  author       = {Hassan N. Noura and
                  Reem Melki and
                  Rouwaida Kanj and
                  Ali Chehab},
  title        = {Secure {MIMO} {D2D} communication based on a lightweight and robust
                  {PLS} cipher scheme},
  journal      = {Wirel. Networks},
  volume       = {27},
  number       = {1},
  pages        = {557--574},
  year         = {2021},
  url          = {https://doi.org/10.1007/s11276-020-02468-z},
  doi          = {10.1007/S11276-020-02468-Z},
  timestamp    = {Sun, 22 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/winet/NouraMKC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RakkaK21,
  author       = {Mariam Rakka and
                  Rouwaida Kanj},
  title        = {Importance Splitting Sample Point Reuse for Efficient Memory Yield
                  Estimation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021,
                  Daegu, South Korea, May 22-28, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISCAS51556.2021.9401540},
  doi          = {10.1109/ISCAS51556.2021.9401540},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RakkaK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2109-05136,
  author       = {Ali Shaib and
                  Mohamad H. Naim and
                  Mohammed E. Fouda and
                  Rouwaida Kanj and
                  Fadi J. Kurdahi},
  title        = {Efficient Noise Mitigation Technique for Quantum Computing},
  journal      = {CoRR},
  volume       = {abs/2109.05136},
  year         = {2021},
  url          = {https://arxiv.org/abs/2109.05136},
  eprinttype    = {arXiv},
  eprint       = {2109.05136},
  timestamp    = {Wed, 22 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2109-05136.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2110-09643,
  author       = {Mira Hout and
                  Mohammed E. Fouda and
                  Rouwaida Kanj and
                  Ahmed M. Eltawil},
  title        = {In-memory Multi-valued Associative Processor},
  journal      = {CoRR},
  volume       = {abs/2110.09643},
  year         = {2021},
  url          = {https://arxiv.org/abs/2110.09643},
  eprinttype    = {arXiv},
  eprint       = {2110.09643},
  timestamp    = {Mon, 25 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2110-09643.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icm2/BazziFKE20,
  author       = {Jinane Bazzi and
                  Mohammed E. Fouda and
                  Rouwaida Kanj and
                  Ahmed M. Eltawil},
  title        = {Threshold Switch Modeling for Analog {CAM} Design},
  booktitle    = {32nd International Conference on Microelectronics, {ICM} 2020, Aqaba,
                  Jordan, December 14-17, 2020},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ICM50269.2020.9331775},
  doi          = {10.1109/ICM50269.2020.9331775},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icm2/BazziFKE20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RakkaKR20,
  author       = {Mariam Rakka and
                  Rouwaida Kanj and
                  Ragheb Raad},
  title        = {Hybrid Importance Splitting Importance Sampling Methodology for Fast
                  Yield Analysis of Memory Designs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020,
                  Sevilla, Spain, October 10-21, 2020},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISCAS45731.2020.9181010},
  doi          = {10.1109/ISCAS45731.2020.9181010},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RakkaKR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/SafieddineZKER19,
  author       = {Maya H. Safieddine and
                  Fadi A. Zaraket and
                  Rouwaida Kanj and
                  Ali S. Elzein and
                  Wolfgang Roesner},
  title        = {Verification at {RTL} Using Separation of Design Concerns},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {38},
  number       = {8},
  pages        = {1529--1542},
  year         = {2019},
  url          = {https://doi.org/10.1109/TCAD.2018.2848589},
  doi          = {10.1109/TCAD.2018.2848589},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/SafieddineZKER19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/SwaidanKHSK19,
  author       = {Zainab Swaidan and
                  Rouwaida Kanj and
                  Johnny El Hajj and
                  Edward Saad and
                  Fadi J. Kurdahi},
  title        = {{RRAM} Endurance and Retention: Challenges, Opportunities and Implications
                  on Reliable Design},
  booktitle    = {26th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2019, Genoa, Italy, November 27-29, 2019},
  pages        = {402--405},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICECS46596.2019.8964707},
  doi          = {10.1109/ICECS46596.2019.8964707},
  timestamp    = {Mon, 03 Feb 2020 12:19:05 +0100},
  biburl       = {https://dblp.org/rec/conf/icecsys/SwaidanKHSK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShaerKJ19,
  author       = {Lama Shaer and
                  Rouwaida Kanj and
                  Rajiv V. Joshi},
  title        = {Data Imbalance Handling Approaches for Accurate Statistical Modeling
                  and Yield Analysis of Memory Designs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019,
                  Sapporo, Japan, May 26-29, 2019},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISCAS.2019.8702731},
  doi          = {10.1109/ISCAS.2019.8702731},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShaerKJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tsg/SeferianKCK18,
  author       = {Vahe Seferian and
                  Rouwaida Kanj and
                  Ali Chehab and
                  Ayman I. Kayssi},
  title        = {Identity Based Key Distribution Framework for Link Layer Security
                  of {AMI} Networks},
  journal      = {{IEEE} Trans. Smart Grid},
  volume       = {9},
  number       = {4},
  pages        = {3166--3179},
  year         = {2018},
  url          = {https://doi.org/10.1109/TSG.2016.2628090},
  doi          = {10.1109/TSG.2016.2628090},
  timestamp    = {Sat, 11 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tsg/SeferianKCK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/MalikJKSHL18,
  author       = {Maria Malik and
                  Rajiv V. Joshi and
                  Rouwaida Kanj and
                  Shupeng Sun and
                  Houman Homayoun and
                  Tong Li},
  title        = {Sparse Regression Driven Mixture Importance Sampling for Memory Design},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {26},
  number       = {1},
  pages        = {63--72},
  year         = {2018},
  url          = {https://doi.org/10.1109/TVLSI.2017.2753139},
  doi          = {10.1109/TVLSI.2017.2753139},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/MalikJKSHL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/RahalMHKMC18,
  author       = {Jean Abou Rahal and
                  Bassel Maamari and
                  Basma Hajri and
                  Rouwaida Kanj and
                  Mohammad M. Mansour and
                  Ali Chehab},
  title        = {Low power {GDI} {ALU} design with mixed logic adder functionality},
  booktitle    = {2018 International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2018, Otranto, Italy, June 4-6, 2018},
  pages        = {9--12},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ICICDT.2018.8399743},
  doi          = {10.1109/ICICDT.2018.8399743},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icicdt/RahalMHKMC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/RaachiniAISKCS18,
  author       = {Anthony Mattar El Raachini and
                  Hussein Alawieh and
                  Adam Issa and
                  Zainab Swaidan and
                  Rouwaida Kanj and
                  Ali Chehab and
                  Mazen A. R. Saghir},
  title        = {Double error cellular automata-based error correction with skip-mode
                  compact syndrome coding for resilient {PUF} design},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {413--418},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357322},
  doi          = {10.1109/ISQED.2018.8357322},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/RaachiniAISKCS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/IssaKCJ17,
  author       = {Adam Issa and
                  Rouwaida Kanj and
                  Ali Chehab and
                  Rajiv V. Joshi},
  title        = {Yield and energy tradeoffs of an NVLatch design using radial sampling},
  booktitle    = {2017 {IEEE} International Conference on {IC} Design and Technology,
                  {ICICDT} 2017, Austin, TX, USA, May 23-25, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICICDT.2017.7993511},
  doi          = {10.1109/ICICDT.2017.7993511},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icicdt/IssaKCJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ShaerKJMC17,
  author       = {Lama Shaer and
                  Rouwaida Kanj and
                  Rajiv V. Joshi and
                  Maria Malik and
                  Ali Chehab},
  title        = {Regularized logistic regression for fast importance sampling based
                  {SRAM} yield analysis},
  booktitle    = {18th International Symposium on Quality Electronic Design, {ISQED}
                  2017, Santa Clara, CA, USA, March 14-15, 2017},
  pages        = {119--124},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISQED.2017.7918303},
  doi          = {10.1109/ISQED.2017.7918303},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ShaerKJMC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/WangCZS0KG16,
  author       = {Fa Wang and
                  Paolo Cachecho and
                  Wangyang Zhang and
                  Shupeng Sun and
                  Xin Li and
                  Rouwaida Kanj and
                  Chenjie Gu},
  title        = {Bayesian Model Fusion: Large-Scale Performance Modeling of Analog
                  and Mixed-Signal Circuits by Reusing Early-Stage Data},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {35},
  number       = {8},
  pages        = {1255--1268},
  year         = {2016},
  url          = {https://doi.org/10.1109/TCAD.2015.2504329},
  doi          = {10.1109/TCAD.2015.2504329},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/WangCZS0KG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/JoshiSKLWRTY16,
  author       = {Rajiv V. Joshi and
                  Sudesh Saroop and
                  Rouwaida Kanj and
                  Yang Liu and
                  Weike Wang and
                  Carl Radens and
                  Yue Tan and
                  Karthik Yogendra},
  title        = {A Universal Hardware-Driven {PVT} and Layout-Aware Predictive Failure
                  Analytics for {SRAM}},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {24},
  number       = {3},
  pages        = {968--978},
  year         = {2016},
  url          = {https://doi.org/10.1109/TVLSI.2015.2427196},
  doi          = {10.1109/TVLSI.2015.2427196},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/JoshiSKLWRTY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/AlawiehWKLJ16,
  author       = {Mohamed Baker Alawieh and
                  Fa Wang and
                  Rouwaida Kanj and
                  Xin Li and
                  Rajiv V. Joshi},
  title        = {Efficient analog circuit optimization using sparse regression and
                  error margining},
  booktitle    = {17th International Symposium on Quality Electronic Design, {ISQED}
                  2016, Santa Clara, CA, USA, March 15-16, 2016},
  pages        = {410--415},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISQED.2016.7479236},
  doi          = {10.1109/ISQED.2016.7479236},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/AlawiehWKLJ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sies/SafieddineZJKS16,
  author       = {Maya H. Safieddine and
                  Fadi A. Zaraket and
                  Mohamad Jaber and
                  Rouwaida Kanj and
                  Mazen A. R. Saghir},
  title        = {Automated {FPGA} implementations of {BIP} designs},
  booktitle    = {11th {IEEE} Symposium on Industrial Embedded Systems, {SIES} 2016,
                  Krakow, Poland, May 23-25, 2016},
  pages        = {165--170},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SIES.2016.7509424},
  doi          = {10.1109/SIES.2016.7509424},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sies/SafieddineZJKS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/JoshiKKBZOKWHSR15,
  author       = {Rajiv V. Joshi and
                  Keunwoo Kim and
                  Rouwaida Kanj and
                  Ajay N. Bhoj and
                  Matthew M. Ziegler and
                  Phil Oldiges and
                  Pranita Kerber and
                  Robert Wong and
                  Terence Hook and
                  Sudesh Saroop and
                  Carl Radens and
                  Chun{-}Chen Yeh},
  title        = {Super Fast Physics-Based Methodology for Accurate Memory Yield Prediction},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {23},
  number       = {3},
  pages        = {534--543},
  year         = {2015},
  url          = {https://doi.org/10.1109/TVLSI.2014.2313815},
  doi          = {10.1109/TVLSI.2014.2313815},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/JoshiKKBZOKWHSR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/JoshiK15,
  author       = {Rajiv V. Joshi and
                  Rouwaida Kanj},
  title        = {Corrections to "Super Fast Physics-Based Methodology for Accurate
                  Memory Yield Prediction"},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {23},
  number       = {7},
  pages        = {1380},
  year         = {2015},
  url          = {https://doi.org/10.1109/TVLSI.2015.2429293},
  doi          = {10.1109/TVLSI.2015.2429293},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/JoshiK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SafieddineKZEJ15,
  author       = {Maya H. Safieddine and
                  Rouwaida Kanj and
                  Fadi A. Zaraket and
                  Ali S. Elzein and
                  Mohamad Jaber},
  title        = {Separation of concerns for hardware components of embedded systems
                  in {BIP}},
  booktitle    = {Sixteenth International Symposium on Quality Electronic Design, {ISQED}
                  2015, Santa Clara, CA, USA, March 2-4, 2015},
  pages        = {337--344},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISQED.2015.7085449},
  doi          = {10.1109/ISQED.2015.7085449},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/SafieddineKZEJ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/ToumaKJKC14,
  author       = {Gerard Touma and
                  Rouwaida Kanj and
                  Rajiv V. Joshi and
                  Ayman I. Kayssi and
                  Ali Chehab},
  title        = {Robust bias temperature instability refresh design and methodology
                  for memory cell recovery},
  booktitle    = {2014 {IEEE} International Conference on {IC} Design {\&} Technology,
                  {ICICDT} 2014, Austin, TX, USA, May 28-30, 2014},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICICDT.2014.6838603},
  doi          = {10.1109/ICICDT.2014.6838603},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icicdt/ToumaKJKC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/FrancisKJKC14,
  author       = {Sabine Francis and
                  Rouwaida Kanj and
                  Rajiv V. Joshi and
                  Ayman I. Kayssi and
                  Ali Chehab},
  title        = {Statistical methodology for modeling non-IID memory fails events},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {205--211},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783326},
  doi          = {10.1109/ISQED.2014.6783326},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/FrancisKJKC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KimKJ14,
  author       = {Keunwoo Kim and
                  Rouwaida Kanj and
                  Rajiv V. Joshi},
  title        = {Impact of FinFET technology for power gating in nano-scale design},
  booktitle    = {Fifteenth International Symposium on Quality Electronic Design, {ISQED}
                  2014, Santa Clara, CA, USA, March 3-5, 2014},
  pages        = {543--547},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISQED.2014.6783374},
  doi          = {10.1109/ISQED.2014.6783374},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/KimKJ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/smartgridcomm/SeferianKCK14,
  author       = {Vahe Seferian and
                  Rouwaida Kanj and
                  Ali Chehab and
                  Ayman I. Kayssi},
  title        = {{PUF} and ID-based key distribution security framework for advanced
                  metering infrastructures},
  booktitle    = {2014 {IEEE} International Conference on Smart Grid Communications,
                  SmartGridComm 2014, Venice, Italy, November 3-6, 2014},
  pages        = {933--938},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/SmartGridComm.2014.7007768},
  doi          = {10.1109/SMARTGRIDCOMM.2014.7007768},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/smartgridcomm/SeferianKCK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicsyn/BarakaGMKK13,
  author       = {Kim Baraka and
                  Marc Ghobril and
                  Sami Malek and
                  Rouwaida Kanj and
                  Ayman I. Kayssi},
  editor       = {David Al{-}Dabass and
                  Gregorio Romero and
                  Alessandra Orsoni and
                  Athanasios A. Pantelous},
  title        = {Low Cost Arduino/Android-Based Energy-Efficient Home Automation System
                  with Smart Task Scheduling},
  booktitle    = {Fifth International Conference on Computational Intelligence, Communication
                  Systems and Networks, CICSyN 2013, Madrid, Spain, June 5-7, 2013},
  pages        = {296--301},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/CICSYN.2013.47},
  doi          = {10.1109/CICSYN.2013.47},
  timestamp    = {Wed, 16 Oct 2019 14:14:56 +0200},
  biburl       = {https://dblp.org/rec/conf/cicsyn/BarakaGMKK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/JoshiKBALS13,
  author       = {Rajiv V. Joshi and
                  Rouwaida Kanj and
                  S. Butt and
                  Emrah Acar and
                  D. Lea and
                  D. Sciacca},
  title        = {Hardware-corroborated Variability-Aware {SRAM} Methodology},
  booktitle    = {26th International Conference on {VLSI} Design and 12th International
                  Conference on Embedded Systems, Pune, India, January 5-10, 2013},
  pages        = {344--349},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/VLSID.2013.212},
  doi          = {10.1109/VLSID.2013.212},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/JoshiKBALS13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KanjJLHN12,
  author       = {Rouwaida Kanj and
                  Rajiv V. Joshi and
                  Zhuo Li and
                  Jerry Hayes and
                  Sani R. Nassif},
  editor       = {Patrick Groeneveld and
                  Donatella Sciuto and
                  Soha Hassoun},
  title        = {Yield estimation via multi-cones},
  booktitle    = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San
                  Francisco, CA, USA, June 3-7, 2012},
  pages        = {1107--1112},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2228360.2228562},
  doi          = {10.1145/2228360.2228562},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/KanjJLHN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WangZJKC12,
  author       = {Peiyuan Wang and
                  Wei Zhang and
                  Rajiv V. Joshi and
                  Rouwaida Kanj and
                  Yiran Chen},
  editor       = {Alan J. Hu},
  title        = {A thermal and process variation aware {MTJ} switching model and its
                  applications in soft error analysis},
  booktitle    = {2012 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012},
  pages        = {720--727},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2429384.2429541},
  doi          = {10.1145/2429384.2429541},
  timestamp    = {Mon, 04 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/WangZJKC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KanjJ12,
  author       = {Rouwaida Kanj and
                  Rajiv V. Joshi},
  editor       = {Keith A. Bowman and
                  Kamesh V. Gadepally and
                  Pallab Chatterjee and
                  Mark M. Budnik and
                  Lalitha Immaneni},
  title        = {A novel sample reuse methodology for fast statistical simulations
                  with applications to manufacturing variability},
  booktitle    = {Thirteenth International Symposium on Quality Electronic Design, {ISQED}
                  2012, Santa Clara, CA, USA, March 19-21, 2012},
  pages        = {672--678},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISQED.2012.6187564},
  doi          = {10.1109/ISQED.2012.6187564},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/KanjJ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsic/BarthPVJKBW12,
  author       = {John Barth and
                  Don Plass and
                  Adis Vehabovic and
                  Rajiv V. Joshi and
                  Rouwaida Kanj and
                  Steven Burns and
                  Todd Weaver},
  title        = {Isolated Preset Architecture for a 32nm {SOI} embedded {DRAM} macro},
  booktitle    = {Symposium on {VLSI} Circuits, {VLSIC} 2012, Honolulu, HI, USA, June
                  13-15, 2012},
  pages        = {110--111},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/VLSIC.2012.6243814},
  doi          = {10.1109/VLSIC.2012.6243814},
  timestamp    = {Mon, 28 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsic/BarthPVJKBW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/JoshiKR11,
  author       = {Rajiv V. Joshi and
                  Rouwaida Kanj and
                  Vinod Ramadurai},
  title        = {A Novel Column-Decoupled 8T Cell for Low-Power Differential and Domino-Based
                  {SRAM} Design},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {19},
  number       = {5},
  pages        = {869--882},
  year         = {2011},
  url          = {https://doi.org/10.1109/TVLSI.2010.2042086},
  doi          = {10.1109/TVLSI.2010.2042086},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/JoshiKR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/KanjJN11,
  author       = {Rouwaida Kanj and
                  Rajiv V. Joshi and
                  Sani R. Nassif},
  title        = {The Impact of Statistical Leakage Models on Design Yield Estimation},
  journal      = {{VLSI} Design},
  volume       = {2011},
  pages        = {471903:1--471903:12},
  year         = {2011},
  url          = {https://doi.org/10.1155/2011/471903},
  doi          = {10.1155/2011/471903},
  timestamp    = {Sat, 24 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/KanjJN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/JoshiKWL11,
  author       = {Rajiv V. Joshi and
                  Rouwaida Kanj and
                  Peiyuan Wang and
                  Hai Li},
  editor       = {Joel R. Phillips and
                  Alan J. Hu and
                  Helmut Graeb},
  title        = {Universal statistical cure for predicting memory loss},
  booktitle    = {2011 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011},
  pages        = {236--239},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ICCAD.2011.6105333},
  doi          = {10.1109/ICCAD.2011.6105333},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/JoshiKWL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KanjLJASWN11,
  author       = {Rouwaida Kanj and
                  Tong Li and
                  Rajiv V. Joshi and
                  Kanak Agarwal and
                  Ali Sadigh and
                  David Winston and
                  Sani R. Nassif},
  editor       = {Joel R. Phillips and
                  Alan J. Hu and
                  Helmut Graeb},
  title        = {Accelerated statistical simulation via on-demand Hermite spline interpolations},
  booktitle    = {2011 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011},
  pages        = {353--360},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ICCAD.2011.6105354},
  doi          = {10.1109/ICCAD.2011.6105354},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/KanjLJASWN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/JoshiKPTC10,
  author       = {Rajiv V. Joshi and
                  Rouwaida Kanj and
                  Anthony Pelella and
                  Arthur Tuminaro and
                  Yuen H. Chan},
  title        = {The Dawn of Predictive Chip Yield Design: Along and Beyond the Memory
                  Lane},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {27},
  number       = {6},
  pages        = {36--45},
  year         = {2010},
  url          = {https://doi.org/10.1109/MDT.2010.95},
  doi          = {10.1109/MDT.2010.95},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/JoshiKPTC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KanjJN10,
  author       = {Rouwaida Kanj and
                  Rajiv V. Joshi and
                  Sani R. Nassif},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Statistical leakage modeling for accurate yield analysis: the {CDF}
                  matching method and its alternatives},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {337--342},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840915},
  doi          = {10.1145/1840845.1840915},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KanjJN10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/BickfordHGMJK10,
  author       = {Jeanne Bickford and
                  Nazmul Habib and
                  John Goss and
                  Robert McMahon and
                  Rajiv V. Joshi and
                  Rouwaida Kanj},
  title        = {Use of scalable Parametric Measurement Macro to improve semiconductor
                  technology characterization and product test},
  booktitle    = {11th International Symposium on Quality of Electronic Design {(ISQED}
                  2010), 22-24 March 2010, San Jose, CA, {USA}},
  pages        = {315--319},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISQED.2010.5450445},
  doi          = {10.1109/ISQED.2010.5450445},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/BickfordHGMJK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/JoshiKK10,
  author       = {Rajiv V. Joshi and
                  Keunwoo Kim and
                  Rouwaida Kanj},
  title        = {FinFET {SRAM} Design},
  booktitle    = {{VLSI} Design 2010: 23rd International Conference on {VLSI} Design,
                  9th International Conference on Embedded Systems, Bangalore, India,
                  3-7 January 2010},
  pages        = {440--445},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/VLSI.Design.2010.88},
  doi          = {10.1109/VLSI.DESIGN.2010.88},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/JoshiKK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KanjJAWN09,
  author       = {Rouwaida Kanj and
                  Rajiv V. Joshi and
                  Chad Adams and
                  James D. Warnock and
                  Sani R. Nassif},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {An elegant hardware-corroborated statistical repair and test methodology
                  for conquering aging effects},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {497--504},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687494},
  doi          = {10.1145/1687399.1687494},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/KanjJAWN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/BansalSKMLASKCNHD09,
  author       = {Aditya Bansal and
                  Rama N. Singh and
                  Rouwaida Kanj and
                  Saibal Mukhopadhyay and
                  Jin{-}Fuw Lee and
                  Emrah Acar and
                  Amith Singhee and
                  Keunwoo Kim and
                  Ching{-}Te Chuang and
                  Sani R. Nassif and
                  Fook{-}Luen Heng and
                  Koushik K. Das},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Yield estimation of {SRAM} circuits using "Virtual {SRAM} Fab"},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {631--636},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687516},
  doi          = {10.1145/1687399.1687516},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/BansalSKMLASKCNHD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KanjJKKMRNN09,
  author       = {Rouwaida Kanj and
                  Rajiv V. Joshi and
                  Jente B. Kuang and
                  J. Kim and
                  Mesut Meterelliyoz and
                  William R. Reohr and
                  Sani R. Nassif and
                  Kevin J. Nowka},
  title        = {Statistical yield analysis of silicon-on-insulator embedded {DRAM}},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {190--194},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810292},
  doi          = {10.1109/ISQED.2009.4810292},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KanjJKKMRNN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ZhouKALJNS09,
  author       = {Nancy Ying Zhou and
                  Rouwaida Kanj and
                  Kanak Agarwal and
                  Zhuo Li and
                  Rajiv V. Joshi and
                  Sani R. Nassif and
                  Weiping Shi},
  title        = {The impact of {BEOL} lithography effects on the {SRAM} cell performance
                  and yield},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {607--612},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810363},
  doi          = {10.1109/ISQED.2009.4810363},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ZhouKALJNS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KanjJLKNZSN08,
  author       = {Rouwaida Kanj and
                  Rajiv V. Joshi and
                  Zhuo Li and
                  Jente B. Kuang and
                  Hung C. Ngo and
                  Nancy Ying Zhou and
                  Weiping Shi and
                  Sani R. Nassif},
  editor       = {Vijaykrishnan Narayanan and
                  C. P. Ravikumar and
                  J{\"{o}}rg Henkel and
                  Ali Keshavarzi and
                  Vojin G. Oklobdzija and
                  Barry M. Pangrle},
  title        = {{SRAM} methodology for yield and power efficiency: per-element selectable
                  supplies and memory reconfiguration schemes},
  booktitle    = {Proceedings of the 2008 International Symposium on Low Power Electronics
                  and Design, 2008, Bangalore, India, August 11-13, 2008},
  pages        = {87--92},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1393921.1393946},
  doi          = {10.1145/1393921.1393946},
  timestamp    = {Wed, 04 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KanjJLKNZSN08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KanjJKWN08,
  author       = {Rouwaida Kanj and
                  Rajiv V. Joshi and
                  Keunwoo Kim and
                  Richard Williams and
                  Sani R. Nassif},
  title        = {Statistical Evaluation of Split Gate Opportunities for Improved 8T/6T
                  Column-Decoupled {SRAM} Cell Yield},
  booktitle    = {9th International Symposium on Quality of Electronic Design {(ISQED}
                  2008), 17-19 March 2008, San Jose, CA, {USA}},
  pages        = {702--707},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISQED.2008.4479823},
  doi          = {10.1109/ISQED.2008.4479823},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KanjJKWN08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KanjLJLN08,
  author       = {Rouwaida Kanj and
                  Zhuo Li and
                  Rajiv V. Joshi and
                  Frank Liu and
                  Sani R. Nassif},
  title        = {A Root-Finding Method for Assessing {SRAM} Stability},
  booktitle    = {9th International Symposium on Quality of Electronic Design {(ISQED}
                  2008), 17-19 March 2008, San Jose, CA, {USA}},
  pages        = {804--809},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISQED.2008.4479841},
  doi          = {10.1109/ISQED.2008.4479841},
  timestamp    = {Fri, 23 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/KanjLJLN08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/RamaduraiJK07,
  author       = {Vinod Ramadurai and
                  Rajiv V. Joshi and
                  Rouwaida Kanj},
  title        = {A Disturb Decoupled Column Select 8T {SRAM} Cell},
  booktitle    = {Proceedings of the {IEEE} 2007 Custom Integrated Circuits Conference,
                  {CICC} 2007, DoubleTree Hotel, San Jose, California, USA, September
                  16-19, 2007},
  pages        = {25--28},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/CICC.2007.4405674},
  doi          = {10.1109/CICC.2007.4405674},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/RamaduraiJK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/JoshiKKWC07,
  author       = {Rajiv V. Joshi and
                  Rouwaida Kanj and
                  Keunwoo Kim and
                  Richard Q. Williams and
                  Ching{-}Te Chuang},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {A floating-body dynamic supply boosting technique for low-voltage
                  sram in nanoscale {PD/SOI} {CMOS} technologies},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {8--13},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283784},
  doi          = {10.1145/1283780.1283784},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/JoshiKKWC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KanjJSKANMN07,
  author       = {Rouwaida Kanj and
                  Rajiv V. Joshi and
                  Jayakumaran Sivagnaname and
                  Jente B. Kuang and
                  Dhruva Acharyya and
                  Tuyet Nguyen and
                  Chandler McDowell and
                  Sani R. Nassif},
  title        = {Gate Leakage Effects on Yield and Design Considerations of {PD/SOI}
                  {SRAM} Designs},
  booktitle    = {8th International Symposium on Quality of Electronic Design {(ISQED}
                  2007), 26-28 March 2007, San Jose, CA, {USA}},
  pages        = {33--40},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISQED.2007.83},
  doi          = {10.1109/ISQED.2007.83},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KanjJSKANMN07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DjahromiEKK07,
  author       = {Amin Khajeh Djahromi and
                  Ahmed M. Eltawil and
                  Fadi J. Kurdahi and
                  Rouwaida Kanj},
  title        = {Cross Layer Error Exploitation for Aggressive Voltage Scaling},
  booktitle    = {8th International Symposium on Quality of Electronic Design {(ISQED}
                  2007), 26-28 March 2007, San Jose, CA, {USA}},
  pages        = {192--197},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISQED.2007.53},
  doi          = {10.1109/ISQED.2007.53},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/DjahromiEKK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KanjJN06,
  author       = {Rouwaida Kanj and
                  Rajiv V. Joshi and
                  Sani R. Nassif},
  editor       = {Ellen Sentovich},
  title        = {Mixture importance sampling and its application to the analysis of
                  {SRAM} designs in the presence of rare failure events},
  booktitle    = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006,
                  San Francisco, CA, USA, July 24-28, 2006},
  pages        = {69--72},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1146909.1146930},
  doi          = {10.1145/1146909.1146930},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/KanjJN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KurdahiEPKN06,
  author       = {Fadi J. Kurdahi and
                  Ahmed M. Eltawil and
                  Young{-}Hwan Park and
                  Rouwaida Kanj and
                  Sani R. Nassif},
  title        = {System-Level {SRAM} Yield Enhancement},
  booktitle    = {7th International Symposium on Quality of Electronic Design {(ISQED}
                  2006), 27-29 March 2006, San Jose, CA, {USA}},
  pages        = {179--184},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISQED.2006.130},
  doi          = {10.1109/ISQED.2006.130},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KurdahiEPKN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ElakkumananKNSKN06,
  author       = {Praveen Elakkumanan and
                  Jente B. Kuang and
                  Kevin J. Nowka and
                  Ramalingam Sridhar and
                  Rouwaida Kanj and
                  Sani R. Nassif},
  title        = {{SRAM} Local Bit Line Access Failure Analyses},
  booktitle    = {7th International Symposium on Quality of Electronic Design {(ISQED}
                  2006), 27-29 March 2006, San Jose, CA, {USA}},
  pages        = {204--209},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISQED.2006.120},
  doi          = {10.1109/ISQED.2006.120},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ElakkumananKNSKN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@phdthesis{DBLP:phd/us/Kanj04,
  author       = {Rouwaida Kanj},
  title        = {{SOI} Circuit Design Styles and High-Level Circuit Modeling Techniques},
  school       = {University of Illinois Urbana-Champaign, {USA}},
  year         = {2004},
  url          = {https://hdl.handle.net/2142/80867},
  timestamp    = {Thu, 07 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/phd/us/Kanj04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/KanjR04,
  author       = {Rouwaida Kanj and
                  Elyse Rosenbaum},
  title        = {Critical evaluation of {SOI} design guidelines},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {12},
  number       = {9},
  pages        = {885--894},
  year         = {2004},
  url          = {https://doi.org/10.1109/TVLSI.2004.833665},
  doi          = {10.1109/TVLSI.2004.833665},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/KanjR04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KanjLAR04,
  author       = {Rouwaida Kanj and
                  Timothy Lehner and
                  Bhavna Agrawal and
                  Elyse Rosenbaum},
  editor       = {Sharad Malik and
                  Limor Fix and
                  Andrew B. Kahng},
  title        = {Noise characterization of static {CMOS} gates},
  booktitle    = {Proceedings of the 41th Design Automation Conference, {DAC} 2004,
                  San Diego, CA, USA, June 7-11, 2004},
  pages        = {888--893},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/996566.996803},
  doi          = {10.1145/996566.996803},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/KanjLAR04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KanjR02,
  author       = {Rouwaida Kanj and
                  Elyse Rosenbaum},
  title        = {A critical look at design guidelines for {SOI} logic gates},
  booktitle    = {Proceedings of the 2002 International Symposium on Circuits and Systems,
                  {ISCAS} 2002, Scottsdale, Arizona, USA, May 26-29, 2002},
  pages        = {261--264},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/ISCAS.2002.1010210},
  doi          = {10.1109/ISCAS.2002.1010210},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KanjR02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics