default search action
BibTeX records: Alex K. Jones
@article{DBLP:journals/cal/KhanHSJC24, author = {Asif Ali Khan and Fazal Hameed and Taha Shahroodi and Alex K. Jones and Jer{\'{o}}nimo Castrill{\'{o}}n}, title = {Efficient Memory Layout for Pre-Alignment Filtering of Long {DNA} Reads Using Racetrack Memory}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {23}, number = {1}, pages = {129--132}, year = {2024}, url = {https://doi.org/10.1109/LCA.2024.3350701}, doi = {10.1109/LCA.2024.3350701}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/KhanHSJC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/ZhuangYJHJH0024, author = {Jinming Zhuang and Zhuoping Yang and Shixin Ji and Heng Huang and Alex K. Jones and Jingtong Hu and Yiyu Shi and Peipei Zhou}, editor = {Zhiru Zhang and Andrew Putnam}, title = {{SSR:} Spatial Sequential Hybrid Architecture for Latency Throughput Tradeoff in Transformer Acceleration}, booktitle = {Proceedings of the 2024 {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, {FPGA} 2024, Monterey, CA, USA, March 3-5, 2024}, pages = {55--66}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3626202.3637569}, doi = {10.1145/3626202.3637569}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpga/ZhuangYJHJH0024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/McKinneyHJ24, author = {Evan McKinney and Michael Hatridge and Alex K. Jones}, title = {{MIRAGE:} Quantum Circuit Decomposition and Routing Collaborative Design Using Mirror Gates}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024}, pages = {704--718}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/HPCA57654.2024.00060}, doi = {10.1109/HPCA57654.2024.00060}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/McKinneyHJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-06270, author = {Shixin Ji and Zhuoping Yang and Stephen Cahoon and Alex K. Jones and Peipei Zhou}, title = {Towards Carbon Modeling of Cloud Servers with Accelerators}, journal = {CoRR}, volume = {abs/2401.06270}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.06270}, doi = {10.48550/ARXIV.2401.06270}, eprinttype = {arXiv}, eprint = {2401.06270}, timestamp = {Thu, 25 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-06270.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-10417, author = {Jinming Zhuang and Zhuoping Yang and Shixin Ji and Heng Huang and Alex K. Jones and Jingtong Hu and Yiyu Shi and Peipei Zhou}, title = {{SSR:} Spatial Sequential Hybrid Architecture for Latency Throughput Tradeoff in Transformer Acceleration}, journal = {CoRR}, volume = {abs/2401.10417}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.10417}, doi = {10.48550/ARXIV.2401.10417}, eprinttype = {arXiv}, eprint = {2401.10417}, timestamp = {Fri, 02 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-10417.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-16694, author = {Sheng Li and Geng Yuan and Yawen Wu and Yue Dai and Chao Wu and Alex K. Jones and Jingtong Hu and Yanzhi Wang and Xulong Tang}, title = {EdgeOL: Efficient in-situ Online Learning on Edge Devices}, journal = {CoRR}, volume = {abs/2401.16694}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.16694}, doi = {10.48550/ARXIV.2401.16694}, eprinttype = {arXiv}, eprint = {2401.16694}, timestamp = {Thu, 18 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-16694.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-04976, author = {Shixin Ji and Zhuoping Yang and Xingzhen Chen and Jingtong Hu and Yiyu Shi and Alex K. Jones and Peipei Zhou}, title = {Towards Data-center Level Carbon Modeling and Optimization for Deep Learning Inference}, journal = {CoRR}, volume = {abs/2403.04976}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.04976}, doi = {10.48550/ARXIV.2403.04976}, eprinttype = {arXiv}, eprint = {2403.04976}, timestamp = {Wed, 03 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-04976.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-21661, author = {Preston Brazzle and Benjamin F. Morris III and Evan McKinney and Peipei Zhou and Jingtong Hu and Asif Ali Khan and Alex K. Jones}, title = {Towards Error Correction for Computing in Racetrack Memory}, journal = {CoRR}, volume = {abs/2407.21661}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.21661}, doi = {10.48550/ARXIV.2407.21661}, eprinttype = {arXiv}, eprint = {2407.21661}, timestamp = {Fri, 23 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-21661.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/OllivierLTCCCZT23, author = {S{\'{e}}bastien Ollivier and Sheng Li and Yue Tang and Stephen Cahoon and Ryan Caginalp and Chayanika Chaudhuri and Peipei Zhou and Xulong Tang and Jingtong Hu and Alex K. Jones}, title = {Sustainable {AI} Processing at the Edge}, journal = {{IEEE} Micro}, volume = {43}, number = {1}, pages = {19--28}, year = {2023}, url = {https://doi.org/10.1109/MM.2022.3220399}, doi = {10.1109/MM.2022.3220399}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/OllivierLTCCCZT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/OllivierLDHBJ23, author = {S{\'{e}}bastien Ollivier and Stephen Longofono and Prayash Dutta and Jingtong Hu and Sanjukta Bhanja and Alex K. Jones}, title = {Toward Comprehensive Shifting Fault Tolerance for Domain-Wall Memories With {PIETT}}, journal = {{IEEE} Trans. Computers}, volume = {72}, number = {4}, pages = {1095--1109}, year = {2023}, url = {https://doi.org/10.1109/TC.2022.3188206}, doi = {10.1109/TC.2022.3188206}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/OllivierLDHBJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KhanOHCJ23, author = {Asif Ali Khan and S{\'{e}}bastien Ollivier and Fazal Hameed and Jer{\'{o}}nimo Castrill{\'{o}}n and Alex K. Jones}, title = {DownShift: Tuning Shift Reduction With Reliability for Racetrack Memories}, journal = {{IEEE} Trans. Computers}, volume = {72}, number = {9}, pages = {2585--2599}, year = {2023}, url = {https://doi.org/10.1109/TC.2023.3257509}, doi = {10.1109/TC.2023.3257509}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/KhanOHCJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/ZhuangLYYDLDNJH23, author = {Jinming Zhuang and Jason Lau and Hanchen Ye and Zhuoping Yang and Yubo Du and Jack Lo and Kristof Denolf and Stephen Neuendorffer and Alex K. Jones and Jingtong Hu and Deming Chen and Jason Cong and Peipei Zhou}, editor = {Paolo Ienne and Zhiru Zhang}, title = {{CHARM:} Composing Heterogeneous AcceleRators for Matrix Multiply on Versal {ACAP} Architecture}, booktitle = {Proceedings of the 2023 {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, {FPGA} 2023, Monterey, CA, USA, February 12-14, 2023}, pages = {153--164}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3543622.3573210}, doi = {10.1145/3543622.3573210}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpga/ZhuangLYYDLDNJH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/McKinneyXZLHJ23, author = {Evan McKinney and Mingkang Xia and Chao Zhou and Pinlei Lu and Michael Hatridge and Alex K. Jones}, title = {Co-Designed Architectures for Modular Superconducting Quantum Computers}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2023, Montreal, QC, Canada, February 25 - March 1, 2023}, pages = {759--772}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HPCA56546.2023.10071036}, doi = {10.1109/HPCA56546.2023.10071036}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/McKinneyXZLHJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ZhangWZKJYW23, author = {Jiangwei Zhang and Chong Wang and Zhenhua Zhu and Donald Kline and Alex K. Jones and Huazhong Yang and Yu Wang}, title = {Realizing Extreme Endurance Through Fault-aware Wear Leveling and Improved Tolerance}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2023, Montreal, QC, Canada, February 25 - March 1, 2023}, pages = {964--976}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HPCA56546.2023.10071093}, doi = {10.1109/HPCA56546.2023.10071093}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/ZhangWZKJYW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YangZYYJZ23, author = {Zhuoping Yang and Jinming Zhuang and Jiaqi Yin and Cunxi Yu and Alex K. Jones and Peipei Zhou}, title = {{AIM:} Accelerating Arbitrary-Precision Integer Multiplication on Heterogeneous Reconfigurable Computing Platform Versal {ACAP}}, booktitle = {{IEEE/ACM} International Conference on Computer Aided Design, {ICCAD} 2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023}, pages = {1--9}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCAD57390.2023.10323754}, doi = {10.1109/ICCAD57390.2023.10323754}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/YangZYYJZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igsc/0001ZC0YC0HJ23, author = {Peipei Zhou and Jinming Zhuang and Stephen Cahoon and Yue Tang and Zhuoping Yang and Xingzhen Chen and Yiyu Shi and Jingtong Hu and Alex K. Jones}, title = {{REFRESH} FPGAs: Sustainable {FPGA} Chiplet Architectures}, booktitle = {Proceedings of the 14th International Green and Sustainable Computing Conference, {IGSC} 2023, Toronto, ON, Canada, October 28-29, 2023}, pages = {1--3}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3634769.3634798}, doi = {10.1145/3634769.3634798}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igsc/0001ZC0YC0HJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/McKinneyZXHJ23, author = {Evan McKinney and Chao Zhou and Mingkang Xia and Michael Hatridge and Alex K. Jones}, editor = {Yan Solihin and Mark A. Heinrich}, title = {Parallel Driving for Fast Quantum Computing Under Speed Limits}, booktitle = {Proceedings of the 50th Annual International Symposium on Computer Architecture, {ISCA} 2023, Orlando, FL, USA, June 17-21, 2023}, pages = {40:1--40:13}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3579371.3589075}, doi = {10.1145/3579371.3589075}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/McKinneyZXHJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-02359, author = {Jinming Zhuang and Jason Lau and Hanchen Ye and Zhuoping Yang and Yubo Du and Jack Lo and Kristof Denolf and Stephen Neuendorffer and Alex K. Jones and Jingtong Hu and Deming Chen and Jason Cong and Peipei Zhou}, title = {{CHARM:} Composing Heterogeneous Accelerators for Matrix Multiply on Versal {ACAP} Architecture}, journal = {CoRR}, volume = {abs/2301.02359}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.02359}, doi = {10.48550/ARXIV.2301.02359}, eprinttype = {arXiv}, eprint = {2301.02359}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-02359.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-12275, author = {Zhuoping Yang and Jinming Zhuang and Jiaqi Yin and Cunxi Yu and Alex K. Jones and Peipei Zhou}, title = {{AIM:} Accelerating Arbitrary-precision Integer Multiplication on Heterogeneous Reconfigurable Computing Platform Versal {ACAP}}, journal = {CoRR}, volume = {abs/2309.12275}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.12275}, doi = {10.48550/ARXIV.2309.12275}, eprinttype = {arXiv}, eprint = {2309.12275}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-12275.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-02991, author = {Peipei Zhou and Jinming Zhuang and Stephen Cahoon and Yue Tang and Zhuoping Yang and Xingzhen Chen and Yiyu Shi and Jingtong Hu and Alex K. Jones}, title = {{REFRESH} FPGAs: Sustainable {FPGA} Chiplet Architectures}, journal = {CoRR}, volume = {abs/2312.02991}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.02991}, doi = {10.48550/ARXIV.2312.02991}, eprinttype = {arXiv}, eprint = {2312.02991}, timestamp = {Thu, 28 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-02991.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/HameedKOJC22, author = {Fazal Hameed and Asif Ali Khan and S{\'{e}}bastien Ollivier and Alex K. Jones and Jer{\'{o}}nimo Castrill{\'{o}}n}, title = {{DNA} Pre-Alignment Filter Using Processing Near Racetrack Memory}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {21}, number = {2}, pages = {53--56}, year = {2022}, url = {https://doi.org/10.1109/LCA.2022.3194263}, doi = {10.1109/LCA.2022.3194263}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/HameedKOJC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/OllivierZTCHJ22, author = {S{\'{e}}bastien Ollivier and Xinyi Zhang and Yue Tang and Chayanika Choudhuri and Jingtong Hu and Alex K. Jones}, title = {Pod-racing: bulk-bitwise to floating-point compute in racetrack memory for machine learning at the edge}, journal = {{IEEE} Micro}, volume = {42}, number = {6}, pages = {9--16}, year = {2022}, url = {https://doi.org/10.1109/MM.2022.3195761}, doi = {10.1109/MM.2022.3195761}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/OllivierZTCHJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/RoxyLOBJ22, author = {Kawsher A. Roxy and Stephen Longofono and S{\'{e}}bastien Ollivier and Sanjukta Bhanja and Alex K. Jones}, title = {Pinning Fault Mode Modeling for {DWM} Shifting}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {69}, number = {7}, pages = {3319--3323}, year = {2022}, url = {https://doi.org/10.1109/TCSII.2022.3161594}, doi = {10.1109/TCSII.2022.3161594}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/RoxyLOBJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/KhanOLHCJ22, author = {Asif Ali Khan and S{\'{e}}bastien Ollivier and Stephen Longofono and Gerald Hempel and Jer{\'{o}}nimo Castrill{\'{o}}n and Alex K. Jones}, title = {Brain-inspired Cognition in Next-generation Racetrack Memories}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {21}, number = {6}, pages = {79:1--79:28}, year = {2022}, url = {https://doi.org/10.1145/3524071}, doi = {10.1145/3524071}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/KhanOLHCJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhangH0JH22, author = {Xinyi Zhang and Cong Hao and Peipei Zhou and Alex K. Jones and Jingtong Hu}, editor = {Rob Oshana}, title = {{H2H:} heterogeneous model to heterogeneous system mapping with computation and communication awareness}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {601--606}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517.3530509}, doi = {10.1145/3489517.3530509}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ZhangH0JH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/LongofonoSJ22, author = {Stephen Longofono and Seyed Mohammad Seyedzadeh and Alex K. Jones}, title = {Virtual Coset Coding for Encrypted Non-Volatile Memories with Multi-Level Cells}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2022, Seoul, South Korea, April 2-6, 2022}, pages = {1128--1140}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HPCA53966.2022.00086}, doi = {10.1109/HPCA53966.2022.00086}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/LongofonoSJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/OllivierLDHBJ22, author = {S{\'{e}}bastien Ollivier and Stephen Longofono and Prayash Dutta and Jingtong Hu and Sanjukta Bhanja and Alex K. Jones}, title = {{CORUSCANT:} Fast Efficient Processing-in-Racetrack Memories}, booktitle = {55th {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2022, Chicago, IL, USA, October 1-5, 2022}, pages = {784--798}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/MICRO56248.2022.00060}, doi = {10.1109/MICRO56248.2022.00060}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/OllivierLDHBJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-08303, author = {Kawsher A. Roxy and Stephen Longofono and S{\'{e}}bastien Ollivier and Sanjukta Bhanja and Alex K. Jones}, title = {Pinning Fault Mode Modeling for {DWM} Shifting}, journal = {CoRR}, volume = {abs/2203.08303}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.08303}, doi = {10.48550/ARXIV.2203.08303}, eprinttype = {arXiv}, eprint = {2203.08303}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-08303.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-13788, author = {S{\'{e}}bastien Ollivier and Xinyi Zhang and Yue Tang and Chayanika Choudhuri and Jingtong Hu and Alex K. Jones}, title = {{FPIRM:} Floating-point Processing in Racetrack Memories}, journal = {CoRR}, volume = {abs/2204.13788}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.13788}, doi = {10.48550/ARXIV.2204.13788}, eprinttype = {arXiv}, eprint = {2204.13788}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-13788.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-13852, author = {Xinyi Zhang and Cong Hao and Peipei Zhou and Alex K. Jones and Jingtong Hu}, title = {{H2H:} Heterogeneous Model to Heterogeneous System Mapping with Computation and Communication Awareness}, journal = {CoRR}, volume = {abs/2204.13852}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.13852}, doi = {10.48550/ARXIV.2204.13852}, eprinttype = {arXiv}, eprint = {2204.13852}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-13852.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-02046, author = {Fazal Hameed and Asif Ali Khan and S{\'{e}}bastien Ollivier and Alex K. Jones and Jer{\'{o}}nimo Castrill{\'{o}}n}, title = {{DNA} Pre-alignment Filter using Processing Near Racetrack Memory}, journal = {CoRR}, volume = {abs/2205.02046}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.02046}, doi = {10.48550/ARXIV.2205.02046}, eprinttype = {arXiv}, eprint = {2205.02046}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-02046.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-12494, author = {Prayash Dutta and Albert Lee and Kang L. Wang and Alex K. Jones and Sanjukta Bhanja}, title = {A Multi-domain Magneto Tunnel Junction for Racetrack Nanowire Strips}, journal = {CoRR}, volume = {abs/2205.12494}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.12494}, doi = {10.48550/ARXIV.2205.12494}, eprinttype = {arXiv}, eprint = {2205.12494}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-12494.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-01209, author = {S{\'{e}}bastien Ollivier and Sheng Li and Yue Tang and Chayanika Chaudhuri and Peipei Zhou and Xulong Tang and Jingtong Hu and Alex K. Jones}, title = {Sustainable {AI} Processing at the Edge}, journal = {CoRR}, volume = {abs/2207.01209}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.01209}, doi = {10.48550/ARXIV.2207.01209}, eprinttype = {arXiv}, eprint = {2207.01209}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-01209.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LongofonoKMJ21, author = {Stephen Longofono and Donald Kline Jr. and Rami G. Melhem and Alex K. Jones}, title = {A {CASTLE} With TOWERs for Reliable, Secure Phase-Change Memory}, journal = {{IEEE} Trans. Computers}, volume = {70}, number = {9}, pages = {1311--1324}, year = {2021}, url = {https://doi.org/10.1109/TC.2020.3006852}, doi = {10.1109/TC.2020.3006852}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/LongofonoKMJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/JonesLOKZM21, author = {Alex K. Jones and Stephen Longofono and S{\'{e}}bastien Ollivier and Donald Kline Jr. and Jiangwei Zhang and Rami G. Melhem}, editor = {Yiran Chen and Victor V. Zhirnov and Avesta Sasan and Ioannis Savidis}, title = {Tuning Memory Fault Tolerance on the Edge}, booktitle = {{GLSVLSI} '21: Great Lakes Symposium on {VLSI} 2021, Virtual Event, USA, June 22-25, 2021}, pages = {421--424}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3453688.3462231}, doi = {10.1145/3453688.3462231}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/JonesLOKZM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-01202, author = {S{\'{e}}bastien Ollivier and Stephen Longofono and Prayash Dutta and Jingtong Hu and Sanjukta Bhanja and Alex K. Jones}, title = {{PIRM:} Processing In Racetrack Memories}, journal = {CoRR}, volume = {abs/2108.01202}, year = {2021}, url = {https://arxiv.org/abs/2108.01202}, eprinttype = {arXiv}, eprint = {2108.01202}, timestamp = {Thu, 05 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-01202.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-02246, author = {Asif Ali Khan and S{\'{e}}bastien Ollivier and Stephen Longofono and Gerald Hempel and Jer{\'{o}}nimo Castrill{\'{o}}n and Alex K. Jones}, title = {Brain-inspired Cognition in Next Generation Racetrack Memories}, journal = {CoRR}, volume = {abs/2111.02246}, year = {2021}, url = {https://arxiv.org/abs/2111.02246}, eprinttype = {arXiv}, eprint = {2111.02246}, timestamp = {Mon, 08 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-02246.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-01658, author = {Stephen Longofono and Seyed Mohammad Seyedzadeh and Alex K. Jones}, title = {Virtual Coset Coding for Encrypted Non-Volatile Memories with Multi-Level Cells}, journal = {CoRR}, volume = {abs/2112.01658}, year = {2021}, url = {https://arxiv.org/abs/2112.01658}, eprinttype = {arXiv}, eprint = {2112.01658}, timestamp = {Tue, 07 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-01658.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-12692, author = {Arifa Hoque and Alex K. Jones and Sanjukta Bhanja}, title = {{XDWM:} {A} 2D Domain Wall Memory}, journal = {CoRR}, volume = {abs/2112.12692}, year = {2021}, url = {https://arxiv.org/abs/2112.12692}, eprinttype = {arXiv}, eprint = {2112.12692}, timestamp = {Tue, 04 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-12692.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/suscom/SeyedzadehKJM20, author = {Seyed Mohammad Seyedzadeh and Donald Kline Jr. and Alex K. Jones and Rami G. Melhem}, title = {Sustainable disturbance crosstalk mitigation in deeply scaled phase-change memory}, journal = {Sustain. Comput. Informatics Syst.}, volume = {28}, pages = {100410}, year = {2020}, url = {https://doi.org/10.1016/j.suscom.2020.100410}, doi = {10.1016/J.SUSCOM.2020.100410}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/suscom/SeyedzadehKJM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/KlineZMJ20, author = {Donald Kline Jr. and Jiangwei Zhang and Rami G. Melhem and Alex K. Jones}, title = {{FLOWER} and FaME: {A} Low Overhead Bit-Level Fault-map and Fault-Tolerance Approach for Deeply Scaled Memories}, booktitle = {{IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2020, San Diego, CA, USA, February 22-26, 2020}, pages = {356--368}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HPCA47549.2020.00037}, doi = {10.1109/HPCA47549.2020.00037}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/KlineZMJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-01588, author = {R. Iris Bahar and Alex K. Jones and Srinivas Katkoori and Patrick H. Madden and Diana Marculescu and Igor L. Markov}, title = {Workshops on Extreme Scale Design Automation {(ESDA)} Challenges and Opportunities for 2025 and Beyond}, journal = {CoRR}, volume = {abs/2005.01588}, year = {2020}, url = {https://arxiv.org/abs/2005.01588}, eprinttype = {arXiv}, eprint = {2005.01588}, timestamp = {Fri, 08 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-01588.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/ZhangKFMJ19, author = {Jiangwei Zhang and Donald Kline Jr. and Liang Fang and Rami G. Melhem and Alex K. Jones}, title = {Yielding optimized dependability assurance through bit inversion}, journal = {Integr.}, volume = {64}, pages = {105--113}, year = {2019}, url = {https://doi.org/10.1016/j.vlsi.2018.09.002}, doi = {10.1016/J.VLSI.2018.09.002}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/ZhangKFMJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/suscom/KlinePGBMCJ19, author = {Donald Kline Jr. and Nikolas Parshook and Xiaoyu Ge and Erik Brunvand and Rami G. Melhem and Panos K. Chrysanthis and Alex K. Jones}, title = {GreenChip: {A} tool for evaluating holistic sustainability of modern computing systems}, journal = {Sustain. Comput. Informatics Syst.}, volume = {22}, pages = {322--332}, year = {2019}, url = {https://doi.org/10.1016/j.suscom.2017.10.001}, doi = {10.1016/J.SUSCOM.2017.10.001}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/suscom/KlinePGBMCJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/KlineLMJ19, author = {Donald Kline Jr. and Stephen Longofono and Rami G. Melhem and Alex K. Jones}, title = {Predicting Single Event Effects in {DRAM}}, booktitle = {2019 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2019, Noordwijk, Netherlands, October 2-4, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/DFT.2019.8875328}, doi = {10.1109/DFT.2019.8875328}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/KlineLMJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/OllivierKRMBJ19, author = {S{\'{e}}bastien Ollivier and Donald Kline Jr. and Kawsher A. Roxy and Rami G. Melhem and Sanjukta Bhanja and Alex K. Jones}, title = {Leveraging Transverse Reads to Correct Alignment Faults in Domain Wall Memories}, booktitle = {49th Annual {IEEE/IFIP} International Conference on Dependable Systems and Networks, {DSN} 2019, Portland, OR, USA, June 24-27, 2019}, pages = {375--387}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/DSN.2019.00047}, doi = {10.1109/DSN.2019.00047}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsn/OllivierKRMBJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/LongofonoKMJ19, author = {Stephen Longofono and Donald Kline Jr. and Rami G. Melhem and Alex K. Jones}, title = {Toward Secure, Reliable, and Energy Efficient Phase-change Main Memory with {MACE}}, booktitle = {Tenth International Green and Sustainable Computing Conference, {IGSC} 2019, Alexandria, VA, USA, October 21-24, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IGSC48788.2019.8957202}, doi = {10.1109/IGSC48788.2019.8957202}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/green/LongofonoKMJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/OllivierKKMBJ19, author = {S{\'{e}}bastien Ollivier and Donald Kline Jr. and Kawsher A. Roxy and Rami G. Melhem and Sanjukta Bhanja and Alex K. Jones}, title = {The Power of Orthogonality}, booktitle = {2019 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2019, Miami, FL, USA, July 15-17, 2019}, pages = {100--102}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISVLSI.2019.00026}, doi = {10.1109/ISVLSI.2019.00026}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/OllivierKKMBJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mascots/KlineLOHMJ19, author = {Donald Kline Jr. and Stephen Longofono and S{\'{e}}bastien Ollivier and Erin Higgins and Rami G. Melhem and Alex K. Jones}, title = {PREMSim: {A} Resilience Framework for Modeling Traditional and Emerging Memory Reliability}, booktitle = {27th {IEEE} International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems, {MASCOTS} 2019, Rennes, France, October 21-25, 2019}, pages = {396--409}, publisher = {{IEEE} Computer Society}, year = {2019}, url = {https://doi.org/10.1109/MASCOTS.2019.00049}, doi = {10.1109/MASCOTS.2019.00049}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mascots/KlineLOHMJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/ZhangKFMJ18, author = {Jiangwei Zhang and Donald Kline Jr. and Liang Fang and Rami G. Melhem and Alex K. Jones}, title = {{RETROFIT:} Fault-Aware Wear Leveling}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {17}, number = {2}, pages = {167--170}, year = {2018}, url = {https://doi.org/10.1109/LCA.2018.2840137}, doi = {10.1109/LCA.2018.2840137}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/ZhangKFMJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/KlineMJ18, author = {Donald Kline Jr. and Rami G. Melhem and Alex K. Jones}, title = {Counter Advance for Reliable Encryption in Phase Change Memory}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {17}, number = {2}, pages = {209--212}, year = {2018}, url = {https://doi.org/10.1109/LCA.2018.2861012}, doi = {10.1109/LCA.2018.2861012}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/KlineMJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KlineXMJ18, author = {Donald Kline Jr. and Haifeng Xu and Rami G. Melhem and Alex K. Jones}, title = {Racetrack Queues for Extremely Low-Energy FIFOs}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {26}, number = {8}, pages = {1531--1544}, year = {2018}, url = {http://doi.ieeecomputersociety.org/10.1109/TVLSI.2018.2819945}, doi = {10.1109/TVLSI.2018.2819945}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/KlineXMJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ZhangKFMJ18, author = {Jiangwei Zhang and Donald Kline Jr. and Liang Fang and Rami G. Melhem and Alex K. Jones}, title = {Data Block Partitioning Methods to Mitigate Stuck-At Faults in Limited Endurance Memories}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {26}, number = {11}, pages = {2358--2371}, year = {2018}, url = {http://doi.ieeecomputersociety.org/10.1109/TVLSI.2018.2858186}, doi = {10.1109/TVLSI.2018.2858186}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ZhangKFMJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/BrunvandKJ18, author = {Erik Brunvand and Donald Kline Jr. and Alex K. Jones}, title = {Dark Silicon Considered Harmful: {A} Case for Truly Green Computing}, booktitle = {Ninth International Green and Sustainable Computing Conference, {IGSC} 2018, Pittsburgh, PA, USA, October 22-24, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IGCC.2018.8752110}, doi = {10.1109/IGCC.2018.8752110}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/green/BrunvandKJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/KlineJ18, author = {Donald Kline Jr. and Alex K. Jones}, title = {Achieving Secure, Reliable, and Sustainable Next Generation Computing Memories}, booktitle = {Ninth International Green and Sustainable Computing Conference, {IGSC} 2018, Pittsburgh, PA, USA, October 22-24, 2018}, pages = {1--2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IGCC.2018.8752128}, doi = {10.1109/IGCC.2018.8752128}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/green/KlineJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/SeyedzadehJM18, author = {Seyed Mohammad Seyedzadeh and Alex K. Jones and Rami G. Melhem}, title = {Improving Sustainability Through Disturbance Crosstalk Mitigation in Deeply Scaled Phase-change Memory}, booktitle = {Ninth International Green and Sustainable Computing Conference, {IGSC} 2018, Pittsburgh, PA, USA, October 22-24, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IGCC.2018.8752107}, doi = {10.1109/IGCC.2018.8752107}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/green/SeyedzadehJM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/SeyedzadehJM18, author = {Seyed Mohammad Seyedzadeh and Alex K. Jones and Rami G. Melhem}, title = {Enabling Fine-Grain Restricted Coset Coding Through Word-Level Compression for {PCM}}, booktitle = {{IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2018, Vienna, Austria, February 24-28, 2018}, pages = {350--361}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/HPCA.2018.00038}, doi = {10.1109/HPCA.2018.00038}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/SeyedzadehJM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/SeyedzadehJM18, author = {Seyed Mohammad Seyedzadeh and Alex K. Jones and Rami G. Melhem}, editor = {Murali Annavaram and Timothy Mark Pinkston and Babak Falsafi}, title = {Mitigating Wordline Crosstalk Using Adaptive Trees of Counters}, booktitle = {45th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2018, Los Angeles, CA, USA, June 1-6, 2018}, pages = {612--623}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISCA.2018.00057}, doi = {10.1109/ISCA.2018.00057}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/SeyedzadehJM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1806-02498, author = {Seyed Mohammad Seyedzadeh and Alex K. Jones and Rami G. Melhem}, title = {Mitigating Wordline Crosstalk using Adaptive Trees of Counters}, journal = {CoRR}, volume = {abs/1806.02498}, year = {2018}, url = {http://arxiv.org/abs/1806.02498}, eprinttype = {arXiv}, eprint = {1806.02498}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1806-02498.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/SeyedzadehJM17, author = {Seyed Mohammad Seyedzadeh and Alex K. Jones and Rami G. Melhem}, title = {Counter-Based Tree Structure for Row Hammering Mitigation in {DRAM}}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {16}, number = {1}, pages = {18--21}, year = {2017}, url = {https://doi.org/10.1109/LCA.2016.2614497}, doi = {10.1109/LCA.2016.2614497}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/SeyedzadehJM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/Jones17, author = {Alex K. Jones}, editor = {Laleh Behjat and Jie Han and Miroslav N. Velev and Deming Chen}, title = {Green Computing: New Challenges and Opportunities}, booktitle = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff, AB, Canada, May 10-12, 2017}, pages = {3}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3060403.3066859}, doi = {10.1145/3060403.3066859}, timestamp = {Tue, 06 Nov 2018 16:59:34 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/Jones17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/JonesMK17, author = {Alex K. Jones and Rami G. Melhem and Donald Kline Jr.}, title = {Holistic energy efficient crosstalk mitigation in {DRAM}}, booktitle = {Eighth International Green and Sustainable Computing Conference, {IGSC} 2017, Orlando, FL, USA, October 23-25, 2017}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IGCC.2017.8323590}, doi = {10.1109/IGCC.2017.8323590}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/green/JonesMK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/KlineMJ17, author = {Donald Kline Jr. and Rami G. Melhem and Alex K. Jones}, title = {Sustainable fault management and error correction for next-generation main memories}, booktitle = {Eighth International Green and Sustainable Computing Conference, {IGSC} 2017, Orlando, FL, USA, October 23-25, 2017}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IGCC.2017.8323584}, doi = {10.1109/IGCC.2017.8323584}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/green/KlineMJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/KlinePJSSBJ17, author = {Donald Kline Jr. and Nikolas Parshook and Alex Johnson and James E. Stine and William E. Stanchina and Erik Brunvand and Alex K. Jones}, title = {Sustainable {IC} design and fabrication}, booktitle = {Eighth International Green and Sustainable Computing Conference, {IGSC} 2017, Orlando, FL, USA, October 23-25, 2017}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IGCC.2017.8323572}, doi = {10.1109/IGCC.2017.8323572}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/green/KlinePJSSBJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhangKFMJ17, author = {Jiangwei Zhang and Donald Kline Jr. and Liang Fang and Rami G. Melhem and Alex K. Jones}, editor = {Sri Parameswaran}, title = {Dynamic partitioning to mitigate stuck-at faults in emerging memories}, booktitle = {2017 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2017, Irvine, CA, USA, November 13-16, 2017}, pages = {651--658}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICCAD.2017.8203839}, doi = {10.1109/ICCAD.2017.8203839}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhangKFMJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhangKFMJ17, author = {Jiangwei Zhang and Donald Kline Jr. and Liang Fang and Rami G. Melhem and Alex K. Jones}, title = {Yoda: Judge Me by My Size, Do You?}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {395--398}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.68}, doi = {10.1109/ICCD.2017.68}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhangKFMJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memsys/SeyedzadehKJM17, author = {Seyed Mohammad Seyedzadeh and Donald Kline Jr. and Alex K. Jones and Rami G. Melhem}, title = {Mitigating bitline crosstalk noise in {DRAM} memories}, booktitle = {Proceedings of the International Symposium on Memory Systems, {MEMSYS} 2017, Alexandria, VA, USA, October 02 - 05, 2017}, pages = {205--216}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3132402.3132410}, doi = {10.1145/3132402.3132410}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/memsys/SeyedzadehKJM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1710-08940, author = {Seyed Mohammad Seyedzadeh and Alex K. Jones and Rami G. Melhem}, title = {A Variable Length Coding Framework for Cost Function Reduction in Non-Volatile Memory Systems}, journal = {CoRR}, volume = {abs/1710.08940}, year = {2017}, url = {http://arxiv.org/abs/1710.08940}, eprinttype = {arXiv}, eprint = {1710.08940}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1710-08940.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1711-08572, author = {Seyed Mohammad Seyedzadeh and Alex K. Jones and Rami G. Melhem}, title = {Enabling Fine-Grain Restricted Coset Coding Through Word-Level Compression for {PCM}}, journal = {CoRR}, volume = {abs/1711.08572}, year = {2017}, url = {http://arxiv.org/abs/1711.08572}, eprinttype = {arXiv}, eprint = {1711.08572}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1711-08572.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/XuCSLBJ16, author = {Haifeng Xu and William O. Collinge and Laura A. Schaefer and Amy E. Landis and Melissa M. Bilec and Alex K. Jones}, title = {Towards a commodity solution for the internet of things}, journal = {Comput. Electr. Eng.}, volume = {52}, pages = {138--156}, year = {2016}, url = {https://doi.org/10.1016/j.compeleceng.2016.03.009}, doi = {10.1016/J.COMPELECENG.2016.03.009}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/XuCSLBJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/SeyedzadehMKJM16, author = {Seyed Mohammad Seyedzadeh and Rakan Maddah and Donald Kline Jr. and Alex K. Jones and Rami G. Melhem}, title = {Improving Bit Flip Reduction for Biased and Random Data}, journal = {{IEEE} Trans. Computers}, volume = {65}, number = {11}, pages = {3345--3356}, year = {2016}, url = {https://doi.org/10.1109/TC.2016.2525982}, doi = {10.1109/TC.2016.2525982}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/SeyedzadehMKJM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmscs/XuAMJ16, author = {Haifeng Xu and Yousra Alkabani and Rami G. Melhem and Alex K. Jones}, title = {FusedCache: {A} Naturally Inclusive, Racetrack Memory, Dual-Level Private Cache}, journal = {{IEEE} Trans. Multi Scale Comput. Syst.}, volume = {2}, number = {2}, pages = {69--82}, year = {2016}, url = {https://doi.org/10.1109/TMSCS.2016.2536020}, doi = {10.1109/TMSCS.2016.2536020}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmscs/XuAMJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/MoengJM16, author = {Michael Moeng and Alex K. Jones and Rami G. Melhem}, title = {Weighted-Tuple: Fast and Accurate Synchronization for Parallel Architecture Simulators}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {27}, number = {8}, pages = {2462--2474}, year = {2016}, url = {https://doi.org/10.1109/TPDS.2015.2494589}, doi = {10.1109/TPDS.2015.2494589}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/MoengJM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/MoengXMJ16, author = {Michael Moeng and Haifeng Xu and Rami G. Melhem and Alex K. Jones}, title = {ContextPreRF: Enhancing the Performance and Energy of GPUs With Nonuniform Register Access}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {24}, number = {1}, pages = {343--347}, year = {2016}, url = {https://doi.org/10.1109/TVLSI.2015.2397876}, doi = {10.1109/TVLSI.2015.2397876}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/MoengXMJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/SeyedzadehMJM16, author = {Seyed Mohammad Seyedzadeh and Rakan Maddah and Alex K. Jones and Rami G. Melhem}, title = {Leveraging {ECC} to Mitigate Read Disturbance, False Reads and Write Faults in {STT-RAM}}, booktitle = {46th Annual {IEEE/IFIP} International Conference on Dependable Systems and Networks, {DSN} 2016, Toulouse, France, June 28 - July 1, 2016}, pages = {215--226}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/DSN.2016.28}, doi = {10.1109/DSN.2016.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsn/SeyedzadehMJM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/BayramEKPCJ16, author = {Ismail Bayram and Enes Eken and Donald Kline Jr. and Nikolas Parshook and Yiran Chen and Alex K. Jones}, title = {Modeling {STT-RAM} fabrication cost and impacts in NVSim}, booktitle = {Seventh International Green and Sustainable Computing Conference, {IGSC} 2016, Hangzhou, China, November 7-9, 2016}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IGCC.2016.7892599}, doi = {10.1109/IGCC.2016.7892599}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/green/BayramEKPCJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/KlinePGBMCJ16, author = {Donald Kline Jr. and Nikolas Parshook and Xiaoyu Ge and Erik Brunvand and Rami G. Melhem and Panos K. Chrysanthis and Alex K. Jones}, title = {Holistically evaluating the environmental impacts in modern computing systems}, booktitle = {Seventh International Green and Sustainable Computing Conference, {IGSC} 2016, Hangzhou, China, November 7-9, 2016}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IGCC.2016.7892605}, doi = {10.1109/IGCC.2016.7892605}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/green/KlinePGBMCJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/AlkabaniKXJM16, author = {Yousra Alkabani and Zach Koopmans and Haifeng Xu and Alex K. Jones and Rami G. Melhem}, title = {Write Pulse Scaling for Energy Efficient {STT-MRAM}}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2016, Pittsburgh, PA, USA, July 11-13, 2016}, pages = {248--253}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISVLSI.2016.118}, doi = {10.1109/ISVLSI.2016.118}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/AlkabaniKXJM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/SejdicMTRFPJBM15, author = {Ervin Sejdic and Alexandre Millecamps and J. Teoli and Michael A. Rothfuss and Nicholas G. Franconi and Subashan Perera and Alex K. Jones and Jennifer S. Brach and Marlin H. Mickle}, title = {Assessing interactions among multiple physiological systems during walking outside a laboratory: An Android based gait monitor}, journal = {Comput. Methods Programs Biomed.}, volume = {122}, number = {3}, pages = {450--461}, year = {2015}, url = {https://doi.org/10.1016/j.cmpb.2015.08.012}, doi = {10.1016/J.CMPB.2015.08.012}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/SejdicMTRFPJBM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigops/ChildersJM15, author = {Bruce R. Childers and Alex K. Jones and Daniel Moss{\'{e}}}, title = {A Roadmap and Plan of Action for Community-Supported Empirical Evaluation in Computer Architecture}, journal = {{ACM} {SIGOPS} Oper. Syst. Rev.}, volume = {49}, number = {1}, pages = {108--117}, year = {2015}, url = {https://doi.org/10.1145/2723872.2723886}, doi = {10.1145/2723872.2723886}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigops/ChildersJM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/BaharJX15, author = {R. Iris Bahar and Alex K. Jones and Yuan Xie}, title = {Introduction to the Special Issue on Reliable, Resilient, and Robust Design of Circuits and Systems}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {20}, number = {4}, pages = {59:1--59:2}, year = {2015}, url = {https://doi.org/10.1145/2796541}, doi = {10.1145/2796541}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/BaharJX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ZhangLSLCJ15, author = {Yaojun Zhang and Yong Li and Zhenyu Sun and Hai Li and Yiran Chen and Alex K. Jones}, title = {Read Performance: The Newest Barrier in Scaled {STT-RAM}}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {23}, number = {6}, pages = {1170--1174}, year = {2015}, url = {https://doi.org/10.1109/TVLSI.2014.2326797}, doi = {10.1109/TVLSI.2014.2326797}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ZhangLSLCJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/XuLMJ15, author = {Haifeng Xu and Yong Li and Rami G. Melhem and Alex K. Jones}, title = {Multilane Racetrack caches: Improving efficiency through compression and independent shifting}, booktitle = {The 20th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2015, Chiba, Japan, January 19-22, 2015}, pages = {417--422}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASPDAC.2015.7059042}, doi = {10.1109/ASPDAC.2015.7059042}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/XuLMJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KlineXMJ15, author = {Donald Kline Jr. and Haifeng Xu and Rami G. Melhem and Alex K. Jones}, title = {Domain-wall memory buffer for low-energy NoCs}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {11:1--11:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744826}, doi = {10.1145/2744769.2744826}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KlineXMJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SeyedzadehMJM15, author = {Seyed Mohammad Seyedzadeh and Rakan Maddah and Alex K. Jones and Rami G. Melhem}, title = {{PRES:} pseudo-random encoding scheme to increase the bit flip reduction in the memory}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {23:1--23:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2755440}, doi = {10.1145/2744769.2755440}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SeyedzadehMJM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/KlineWMJ15, author = {Donald Kline Jr. and Kai Wang and Rami G. Melhem and Alex K. Jones}, editor = {Alex K. Jones and Hai (Helen) Li and Ayse K. Coskun and Martin Margala}, title = {{MSCS:} Multi-hop Segmented Circuit Switching}, booktitle = {Proceedings of the 25th edition on Great Lakes Symposium on VLSI, {GLVLSI} 2015, Pittsburgh, PA, USA, May 20 - 22, 2015}, pages = {179--184}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2742060.2742087}, doi = {10.1145/2742060.2742087}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/KlineWMJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/LiXMJ15, author = {Yong Li and Haifeng Xu and Rami G. Melhem and Alex K. Jones}, editor = {Alex K. Jones and Hai (Helen) Li and Ayse K. Coskun and Martin Margala}, title = {Space Oblivious Compression: Power Reduction for Non-Volatile Main Memories}, booktitle = {Proceedings of the 25th edition on Great Lakes Symposium on VLSI, {GLVLSI} 2015, Pittsburgh, PA, USA, May 20 - 22, 2015}, pages = {217--220}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2742060.2742107}, doi = {10.1145/2742060.2742107}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/LiXMJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/XuLCSBJL15, author = {Haifeng Xu and Yong Li and William O. Collinge and Laura A. Schaefer and Melissa M. Bilec and Alex K. Jones and Amy E. Landis}, title = {Improving efficiency of wireless sensor networks through lightweight in-memory compression}, booktitle = {Sixth International Green and Sustainable Computing Conference, {IGSC} 2015, Las Vegas, NV, USA, December 14-16, 2015}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IGCC.2015.7393696}, doi = {10.1109/IGCC.2015.7393696}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/green/XuLCSBJL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/MoengJM15, author = {Michael Moeng and Alex K. Jones and Rami G. Melhem}, title = {Reciprocal abstraction for computer architecture co-simulation}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {268--277}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095812}, doi = {10.1109/ISPASS.2015.7095812}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/MoengJM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/XuBCSLJ15, author = {Haifeng Xu and Melissa M. Bilec and William O. Collinge and Laura A. Schaefer and Amy E. Landis and Alex K. Jones}, title = {Lynx: a self-organizing wireless sensor network with commodity palmtop computers}, booktitle = {2015 {ACM/IEEE} International Workshop on System Level Interconnect Prediction, {SLIP} 2015, San Francisco, CA, USA, June 6, 2015}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/SLIP.2015.7171712}, doi = {10.1109/SLIP.2015.7171712}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/slip/XuBCSLJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/glvlsi/2015, editor = {Alex K. Jones and Hai (Helen) Li and Ayse K. Coskun and Martin Margala}, title = {Proceedings of the 25th edition on Great Lakes Symposium on VLSI, {GLVLSI} 2015, Pittsburgh, PA, USA, May 20 - 22, 2015}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2742060}, isbn = {978-1-4503-3474-7}, timestamp = {Tue, 23 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/0009MJ14, author = {Yong Li and Rami G. Melhem and Alex K. Jones}, title = {A Practical Data Classification Framework for Scalable and High Performance Chip-Multiprocessors}, journal = {{IEEE} Trans. Computers}, volume = {63}, number = {12}, pages = {2905--2918}, year = {2014}, url = {https://doi.org/10.1109/TC.2013.161}, doi = {10.1109/TC.2013.161}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/0009MJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/MaoSLJC14, author = {Mengjie Mao and Guangyu Sun and Yong Li and Alex K. Jones and Yiran Chen}, title = {Prefetching techniques for {STT-RAM} based last-level cache in {CMP} systems}, booktitle = {19th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2014, Singapore, January 20-23, 2014}, pages = {67--72}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ASPDAC.2014.6742868}, doi = {10.1109/ASPDAC.2014.6742868}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/MaoSLJC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiuLZJC14, author = {Xiaoxiao Liu and Yong Li and Yaojun Zhang and Alex K. Jones and Yiran Chen}, title = {{STD-TLB:} {A} STT-RAM-based dynamically-configurable translation lookaside buffer for {GPU} architectures}, booktitle = {19th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2014, Singapore, January 20-23, 2014}, pages = {355--360}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ASPDAC.2014.6742915}, doi = {10.1109/ASPDAC.2014.6742915}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/LiuLZJC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/Jones14, author = {Alex K. Jones}, editor = {Joseph R. Cavallaro and Tong Zhang and Alex K. Jones and Hai (Helen) Li}, title = {{EDA} for extreme scale systems: design abstractions, metrics, and benchmarks}, booktitle = {Great Lakes Symposium on {VLSI} 2014, {GLSVLSI} '14, Houston, TX, {USA} - May 21 - 23, 2014}, pages = {285--286}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591513.2597170}, doi = {10.1145/2591513.2597170}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/Jones14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/SunBJL14, author = {Zhenyu Sun and Xiuyuan Bi and Alex K. Jones and Hai Li}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Design exploration of racetrack lower-level caches}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {263--266}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627651}, doi = {10.1145/2627369.2627651}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/SunBJL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mascots/MoengMJ14, author = {Michael Moeng and Rami G. Melhem and Alex K. Jones}, title = {Weighted-Tuple Synchronization for Parallel Architecture Simulators}, booktitle = {{IEEE} 22nd International Symposium on Modelling, Analysis {\&} Simulation of Computer and Telecommunication Systems, {MASCOTS} 2014, Paris, France, September 9-11, 2014}, pages = {275--284}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/MASCOTS.2014.42}, doi = {10.1109/MASCOTS.2014.42}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mascots/MoengMJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/glvlsi/2014, editor = {Joseph R. Cavallaro and Tong Zhang and Alex K. Jones and Hai (Helen) Li}, title = {Great Lakes Symposium on {VLSI} 2014, {GLSVLSI} '14, Houston, TX, {USA} - May 21 - 23, 2014}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591513}, doi = {10.1145/2591513}, isbn = {978-1-4503-2816-6}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/pldi/2014trust, editor = {Grigori Fursin and Bruce R. Childers and Alex K. Jones and Daniel Moss{\'{e}}}, title = {Proceedings of the 1st {ACM} {SIGPLAN} Workshop on Reproducible Research Methodologies and New Publication Models in Computer Engineering, {TRUST} 2014, Edinburgh, United Kingdom, June 9-11, 2014}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2618137}, doi = {10.1145/2618137}, isbn = {978-1-4503-2951-4}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pldi/2014trust.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/MehtaJ13, author = {Gayatri Mehta and Alex K. Jones}, title = {Implementation and validation of architectural space exploration techniques for domain-specific reconfigurable computing}, journal = {Des. Autom. Embed. Syst.}, volume = {17}, number = {1}, pages = {27--51}, year = {2013}, url = {https://doi.org/10.1007/s10617-013-9118-1}, doi = {10.1007/S10617-013-9118-1}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dafes/MehtaJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/LiMJ13, author = {Yong Li and Rami G. Melhem and Alex K. Jones}, title = {{PS-TLB:} Leveraging page classification information for fast, scalable and efficient translation for future CMPs}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {9}, number = {4}, pages = {28:1--28:21}, year = {2013}, url = {https://doi.org/10.1145/2400682.2400687}, doi = {10.1145/2400682.2400687}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/LiMJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/LiZLCJ13, author = {Yong Li and Yaojun Zhang and Hai Li and Yiran Chen and Alex K. Jones}, title = {{C1C:} {A} configurable, compiler-guided {STT-RAM} {L1} cache}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {10}, number = {4}, pages = {52:1--52:22}, year = {2013}, url = {https://doi.org/10.1145/2541228.2555308}, doi = {10.1145/2541228.2555308}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/LiZLCJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/AbousamraJM13, author = {Ahmed Abousamra and Alex K. Jones and Rami G. Melhem}, title = {\emph{Ordering} circuit establishment in multiplane NoCs}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {18}, number = {4}, pages = {49:1--49:33}, year = {2013}, url = {https://doi.org/10.1145/2500752}, doi = {10.1145/2500752}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/AbousamraJM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AbousamraJM13, author = {Ahmed Abousamra and Alex K. Jones and Rami G. Melhem}, title = {Proactive circuit allocation in multiplane NoCs}, booktitle = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin, TX, USA, May 29 - June 07, 2013}, pages = {35:1--35:10}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2463209.2488778}, doi = {10.1145/2463209.2488778}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AbousamraJM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/MaoLJC13, author = {Mengjie Mao and Hai (Helen) Li and Alex K. Jones and Yiran Chen}, editor = {Jos{\'{e}} Luis Ayala and Alex K. Jones and Patrick H. Madden and Ayse K. Coskun}, title = {Coordinating prefetching and {STT-RAM} based last-level cache management for multicore systems}, booktitle = {Great Lakes Symposium on {VLSI} 2013 (part of ECRC), GLSVLSI'13, Paris, France, May 2-4, 2013}, pages = {55--60}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2483028.2483060}, doi = {10.1145/2483028.2483060}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/MaoLJC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/JonesLCXSLB13, author = {Alex K. Jones and Liang Liao and William O. Collinge and Haifeng Xu and Laura A. Schaefer and Amy E. Landis and Melissa M. Bilec}, title = {Green computing: {A} life cycle perspective}, booktitle = {International Green Computing Conference, {IGCC} 2013, Arlington, VA, USA, June 27-29, 2013, Proceedings}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IGCC.2013.6604497}, doi = {10.1109/IGCC.2013.6604497}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/green/JonesLCXSLB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/XuBSLJ13, author = {Haifeng Xu and Melissa M. Bilec and Laura A. Schaefer and Amy E. Landis and Alex K. Jones}, title = {Ocelot: {A} wireless sensor network and computing engine with commodity palmtop computers}, booktitle = {International Green Computing Conference, {IGCC} 2013, Arlington, VA, USA, June 27-29, 2013, Proceedings}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IGCC.2013.6604482}, doi = {10.1109/IGCC.2013.6604482}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/green/XuBSLJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JonesCCXSLB13, author = {Alex K. Jones and Yiran Chen and William O. Collinge and Haifeng Xu and Laura A. Schaefer and Amy E. Landis and Melissa M. Bilec}, editor = {J{\"{o}}rg Henkel}, title = {Considering fabrication in sustainable computing}, booktitle = {The {IEEE/ACM} International Conference on Computer-Aided Design, ICCAD'13, San Jose, CA, USA, November 18-21, 2013}, pages = {206--210}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCAD.2013.6691120}, doi = {10.1109/ICCAD.2013.6691120}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/JonesCCXSLB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mse/BaharJKMMM13, author = {R. Iris Bahar and Alex K. Jones and Srinivas Katkoori and Patrick H. Madden and Diana Marculescu and Igor L. Markov}, title = {"Scaling" the impact of {EDA} education Preliminary findings from the {CCC} workshop series on extreme scale design automation}, booktitle = {2013 {IEEE} International Conference on Microelectronic Systems Education, {MSE} 2013, Austin, TX, USA, June 2-3, 2013}, pages = {64--67}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/MSE.2013.6566706}, doi = {10.1109/MSE.2013.6566706}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mse/BaharJKMMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/glvlsi/2013, editor = {Jos{\'{e}} Luis Ayala and Alex K. Jones and Patrick H. Madden and Ayse K. Coskun}, title = {Great Lakes Symposium on {VLSI} 2013 (part of ECRC), GLSVLSI'13, Paris, France, May 2-4, 2013}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2483028}, doi = {10.1145/2483028}, isbn = {978-1-4503-2032-0}, timestamp = {Tue, 23 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/LiMJ12, author = {Yang Li and Rami G. Melhem and Alex K. Jones}, title = {Leveraging Sharing in Second Level Translation-Lookaside Buffers for Chip Multiprocessors}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {11}, number = {2}, pages = {49--52}, year = {2012}, url = {https://doi.org/10.1109/L-CA.2011.35}, doi = {10.1109/L-CA.2011.35}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/LiMJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/LiZCJ12, author = {Yong Li and Yaojun Zhang and Yiran Chen and Alex K. Jones}, title = {Combating Write Penalties Using Software Dispatch for On-Chip {MRAM} Integration}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {4}, number = {4}, pages = {82--85}, year = {2012}, url = {https://doi.org/10.1109/LES.2012.2216253}, doi = {10.1109/LES.2012.2216253}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/esl/LiZCJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/YuanMJ12, author = {Xin Yuan and Gayatri Mehta and Alex K. Jones}, title = {Guest Editor's Note - Interaction between Compilers and Computer Architectures}, journal = {J. Circuits Syst. Comput.}, volume = {21}, number = {2}, year = {2012}, url = {https://doi.org/10.1142/S021812661202001X}, doi = {10.1142/S021812661202001X}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/YuanMJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/AbousamraJM12, author = {Ahmed Abousamra and Alex K. Jones and Rami G. Melhem}, title = {Codesign of NoC and Cache Organization for Reducing Access Latency in Chip Multiprocessors}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {23}, number = {6}, pages = {1038--1046}, year = {2012}, url = {https://doi.org/10.1109/TPDS.2011.238}, doi = {10.1109/TPDS.2011.238}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/AbousamraJM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/LiAMJ12, author = {Yong Li and Ahmed Abousamra and Rami G. Melhem and Alex K. Jones}, title = {Compiler-Assisted Data Distribution and Network Configuration for Chip Multiprocessors}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {23}, number = {11}, pages = {2058--2066}, year = {2012}, url = {https://doi.org/10.1109/TPDS.2011.279}, doi = {10.1109/TPDS.2011.279}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/LiAMJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/LiMJ12, author = {Yong Li and Rami G. Melhem and Alex K. Jones}, editor = {Pen{-}Chung Yew and Sangyeun Cho and Luiz DeRose and David J. Lilja}, title = {Practically private: enabling high performance CMPs through compiler-assisted data classification}, booktitle = {International Conference on Parallel Architectures and Compilation Techniques, {PACT} '12, Minneapolis, MN, {USA} - September 19 - 23, 2012}, pages = {231--240}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2370816.2370852}, doi = {10.1145/2370816.2370852}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/LiMJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhangWLJC12, author = {Yaojun Zhang and Xiaobin Wang and Yong Li and Alex K. Jones and Yiran Chen}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Asymmetry of {MTJ} switching and its implication to {STT-RAM} designs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1313--1318}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176695}, doi = {10.1109/DATE.2012.6176695}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZhangWLJC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/LiCJ12, author = {Yong Li and Yiran Chen and Alex K. Jones}, editor = {Naresh R. Shanbhag and Massimo Poncino and Pai H. Chou and Ajith Amerasekera}, title = {A software approach for combating asymmetries of non-volatile memories}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'12, Redondo Beach, CA, {USA} - July 30 - August 01, 2012}, pages = {191--196}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2333660.2333708}, doi = {10.1145/2333660.2333708}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/LiCJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/LiJ12, author = {Yong Li and Alex K. Jones}, title = {Cross-Layer Techniques for Optimizing Systems Utilizing Memories with Asymmetric Access Characteristics}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2012, Amherst, MA, USA, August 19-21, 2012}, pages = {404--409}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISVLSI.2012.65}, doi = {10.1109/ISVLSI.2012.65}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/LiJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/AbousamraMJ12, author = {Ahmed Abousamra and Rami G. Melhem and Alex K. Jones}, title = {D{\'{e}}j{\`{a}} Vu Switching for Multiplane NoCs}, booktitle = {2012 Sixth {IEEE/ACM} International Symposium on Networks-on-Chip (NoCS), Copenhagen, Denmark, 9-11 May, 2012}, pages = {11--18}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/NOCS.2012.9}, doi = {10.1109/NOCS.2012.9}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nocs/AbousamraMJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipeac/AbousamraJM11, author = {Ahmed Abousamra and Alex K. Jones and Rami G. Melhem}, editor = {Manolis Katevenis and Margaret Martonosi and Christos Kozyrakis and Olivier Temam}, title = {NoC-aware cache design for multithreaded execution on tiled chip multiprocessors}, booktitle = {High Performance Embedded Architectures and Compilers, 6th International Conference, HiPEAC 2011, Heraklion, Crete, Greece, January 24-26, 2011. Proceedings}, pages = {197--205}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1944862.1944891}, doi = {10.1145/1944862.1944891}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipeac/AbousamraJM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mse/JonesL11, author = {Alex K. Jones and Steven P. Levitan}, title = {Industrially inspired just-in-time {(JIT)} teaching}, booktitle = {2011 {IEEE} International Conference on Microelectronic Systems Education, {MSE} 2011, San Diego, CA, USA, June 5-6, 2011}, pages = {9--12}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/MSE.2011.5937079}, doi = {10.1109/MSE.2011.5937079}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mse/JonesL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/AbousamraMJ11, author = {Ahmed Abousamra and Rami G. Melhem and Alex K. Jones}, editor = {Radu Marculescu and Michael Kishinevsky and Ran Ginosar and Karam S. Chatha}, title = {Two-hop Free-space based optical interconnects for chip multiprocessors}, booktitle = {{NOCS} 2011, Fifth {ACM/IEEE} International Symposium on Networks-on-Chip, Pittsburgh, Pennsylvania, USA, May 1-4, 2011}, pages = {89--96}, publisher = {{ACM/IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1145/1999946.1999961}, doi = {10.1145/1999946.1999961}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nocs/AbousamraMJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppl/WeemsKRJ10, author = {Charles C. Weems and Darren J. Kerbyson and Ramakrishnan Rajamony and Alex K. Jones}, title = {Guest Editor's Note: Large-Scale Parallel Processing}, journal = {Parallel Process. Lett.}, volume = {20}, number = {4}, pages = {289--291}, year = {2010}, url = {https://doi.org/10.1142/S0129626410000247}, doi = {10.1142/S0129626410000247}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ppl/WeemsKRJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/LiAMJ10, author = {Yong Li and Ahmed Abousamra and Rami G. Melhem and Alex K. Jones}, editor = {Valentina Salapura and Michael Gschwind and Jens Knoop}, title = {Compiler-assisted data distribution for chip multiprocessors}, booktitle = {19th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2010, Vienna, Austria, September 11-15, 2010}, pages = {501--512}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1854273.1854335}, doi = {10.1145/1854273.1854335}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/LiAMJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/AbousamraMJ10, author = {Ahmed Abousamra and Rami G. Melhem and Alex K. Jones}, editor = {Valentina Salapura and Michael Gschwind and Jens Knoop}, title = {NoC-aware cache design for chip multiprocessors}, booktitle = {19th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2010, Vienna, Austria, September 11-15, 2010}, pages = {565--566}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1854273.1854354}, doi = {10.1145/1854273.1854354}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/AbousamraMJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/IhrigMJ10, author = {Colin J. Ihrig and Rami G. Melhem and Alex K. Jones}, editor = {Sachin S. Sapatnekar}, title = {Automated modeling and emulation of interconnect designs for many-core chip multiprocessors}, booktitle = {Proceedings of the 47th Design Automation Conference, {DAC} 2010, Anaheim, California, USA, July 13-18, 2010}, pages = {431--436}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1837274.1837383}, doi = {10.1145/1837274.1837383}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/IhrigMJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/MehtaJ10, author = {Gayatri Mehta and Alex K. Jones}, title = {An architectural space exploration tool for domain specific reconfigurable computing}, booktitle = {24th {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2010, Atlanta, Georgia, USA, 19-23 April 2010 - Workshop Proceedings}, pages = {1--8}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IPDPSW.2010.5470735}, doi = {10.1109/IPDPSW.2010.5470735}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/MehtaJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppl/JonesKRW09, author = {Alex K. Jones and Darren J. Kerbyson and Ramakrishnan Rajamony and Charles C. Weems}, title = {Guest Editor's Note: Large Scale Parallel Processing}, journal = {Parallel Process. Lett.}, volume = {19}, number = {4}, pages = {487--490}, year = {2009}, url = {https://doi.org/10.1142/S0129626409000377}, doi = {10.1142/S0129626409000377}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ppl/JonesKRW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppl/ZhangJ09, author = {Yu Zhang and Alex K. Jones}, title = {Non-Uniform "Fat-Meshes" for Chip Multiprocessors}, journal = {Parallel Process. Lett.}, volume = {19}, number = {4}, pages = {595--617}, year = {2009}, url = {https://doi.org/10.1142/S0129626409000432}, doi = {10.1142/S0129626409000432}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ppl/ZhangJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/DontharajuTCMMJ09, author = {Swapna R. Dontharaju and Shen Chih Tung and James T. Cain and Leonid Mats and Marlin H. Mickle and Alex K. Jones}, title = {A design automation and power estimation flow for {RFID} systems}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {14}, number = {1}, pages = {7:1--7:31}, year = {2009}, url = {https://doi.org/10.1145/1455229.1455236}, doi = {10.1145/1455229.1455236}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/DontharajuTCMMJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/MehtaSBHJ09, author = {Gayatri Mehta and Justin Stander and Mustafa Baz and Brady Hunsaker and Alex K. Jones}, title = {Interconnect customization for a hardware fabric}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {14}, number = {1}, pages = {11:1--11:32}, year = {2009}, url = {https://doi.org/10.1145/1455229.1455240}, doi = {10.1145/1455229.1455240}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/MehtaSBHJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ShaoJM09, author = {Shuyi Shao and Alex K. Jones and Rami G. Melhem}, title = {Compiler Techniques for Efficient Communications in Circuit Switched Networks for Multiprocessor Systems}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {20}, number = {3}, pages = {331--345}, year = {2009}, url = {https://doi.org/10.1109/TPDS.2008.82}, doi = {10.1109/TPDS.2008.82}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/ShaoJM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trets/SchaumontJT09, author = {Patrick Schaumont and Alex K. Jones and Steve Trimberger}, title = {Guest Editors' Introduction to Security in Reconfigurable Systems Design}, journal = {{ACM} Trans. Reconfigurable Technol. Syst.}, volume = {2}, number = {1}, pages = {1:1--1:6}, year = {2009}, url = {https://doi.org/10.1145/1502781.1502782}, doi = {10.1145/1502781.1502782}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/trets/SchaumontJT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/IhrigDJ09, author = {Colin J. Ihrig and Gerold Joseph Dhanabalan and Alex K. Jones}, editor = {Fabrizio Lombardi and Sanjukta Bhanja and Yehia Massoud and R. Iris Bahar}, title = {A low-power {CMOS} thyristor based delay element with programmability extensions}, booktitle = {Proceedings of the 19th {ACM} Great Lakes Symposium on {VLSI} 2009, Boston Area, MA, USA, May 10-12 2009}, pages = {297--302}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1531542.1531611}, doi = {10.1145/1531542.1531611}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/IhrigDJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hoti/AbousamraMJ09, author = {Ahmed Abousamra and Rami G. Melhem and Alex K. Jones}, editor = {Keren Bergman and Ron Brightwell and Fabrizio Petrini and Head Bubba}, title = {Winning with Pinning in NoC}, booktitle = {17th {IEEE} Symposium on High Performance Interconnects, {HOTI} 2009, New York, New York, USA, August 25-27, 2009}, pages = {13--21}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/HOTI.2009.15}, doi = {10.1109/HOTI.2009.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hoti/AbousamraMJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/ZhangJ09, author = {Yu Zhang and Alex K. Jones}, title = {Non-uniform fat-meshes for chip multiprocessors}, booktitle = {23rd {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2009, Rome, Italy, May 23-29, 2009}, pages = {1--8}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/IPDPS.2009.5161093}, doi = {10.1109/IPDPS.2009.5161093}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/ZhangJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/HoareDJ08, author = {Raymond R. Hoare and Zhu Ding and Alex K. Jones}, title = {A two-stage hardware scheduler combining greedy and optimal scheduling}, journal = {J. Parallel Distributed Comput.}, volume = {68}, number = {11}, pages = {1437--1451}, year = {2008}, url = {https://doi.org/10.1016/j.jpdc.2008.07.008}, doi = {10.1016/J.JPDC.2008.07.008}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/HoareDJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppl/JonesKRW08, author = {Alex K. Jones and Darren J. Kerbyson and Ramakrishnan Rajamony and Charles C. Weems}, title = {Guest Editor's Note: Large-Scale Parallel Processing}, journal = {Parallel Process. Lett.}, volume = {18}, number = {4}, pages = {449--451}, year = {2008}, url = {https://doi.org/10.1142/S0129626408003508}, doi = {10.1142/S0129626408003508}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ppl/JonesKRW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppl/JonesSZM08, author = {Alex K. Jones and Shuyi Shao and Yu Zhang and Rami G. Melhem}, title = {Symbolic Expression Analysis for Compiled Communication}, journal = {Parallel Process. Lett.}, volume = {18}, number = {4}, pages = {567--587}, year = {2008}, url = {https://doi.org/10.1142/S0129626408003570}, doi = {10.1142/S0129626408003570}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ppl/JonesSZM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/JonesDTMHHCM08, author = {Alex K. Jones and Swapna R. Dontharaju and Shen Chih Tung and Leonid Mats and Peter J. Hawrylak and Raymond R. Hoare and James T. Cain and Marlin H. Mickle}, title = {Radio frequency identification prototyping}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {13}, number = {2}, pages = {29:1--29:22}, year = {2008}, url = {https://doi.org/10.1145/1344418.1344425}, doi = {10.1145/1344418.1344425}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/JonesDTMHHCM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/JonesW08, author = {Alex K. Jones and Robert Walker}, title = {Introduction to the special section on demonstrable software systems and hardware platforms {II}}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {13}, number = {3}, pages = {38:1--38:3}, year = {2008}, url = {https://doi.org/10.1145/1367045.1367047}, doi = {10.1145/1367045.1367047}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/JonesW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/MehtaIJ08, author = {Gayatri Mehta and Colin J. Ihrig and Alex K. Jones}, title = {Reducing energy by exploring heterogeneity in a coarse-grain fabric}, booktitle = {22nd {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2008, Miami, Florida USA, April 14-18, 2008}, pages = {1--8}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/IPDPS.2008.4536532}, doi = {10.1109/IPDPS.2008.4536532}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/MehtaIJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/ShaoZJM08, author = {Shuyi Shao and Yu Zhang and Alex K. Jones and Rami G. Melhem}, title = {Symbolic expression analysis for compiled communication}, booktitle = {22nd {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2008, Miami, Florida USA, April 14-18, 2008}, pages = {1--8}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/IPDPS.2008.4536344}, doi = {10.1109/IPDPS.2008.4536344}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/ShaoZJM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/TungJ08, author = {Shen Chih Tung and Alex K. Jones}, title = {Physical layer design automation for {RFID} systems}, booktitle = {22nd {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2008, Miami, Florida USA, April 14-18, 2008}, pages = {1--8}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/IPDPS.2008.4536530}, doi = {10.1109/IPDPS.2008.4536530}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/TungJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/YuHJ08, author = {Ying Yu and Raymond R. Hoare and Alex K. Jones}, title = {A CAM-based intrusion detection system for single-packet attack detection}, booktitle = {22nd {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2008, Miami, Florida USA, April 14-18, 2008}, pages = {1--8}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/IPDPS.2008.4536531}, doi = {10.1109/IPDPS.2008.4536531}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/YuHJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/JonesHDTSFCM07, author = {Alex K. Jones and Raymond Hoare and Swapna R. Dontharaju and Shen Chih Tung and Ralph Sprang and Joshua Fazekas and James T. Cain and Marlin H. Mickle}, title = {An automated, FPGA-based reconfigurable, low-power {RFID} tag}, journal = {Microprocess. Microsystems}, volume = {31}, number = {2}, pages = {116--134}, year = {2007}, url = {https://doi.org/10.1016/j.micpro.2006.03.002}, doi = {10.1016/J.MICPRO.2006.03.002}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/JonesHDTSFCM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/IhrigSJ07, author = {Colin J. Ihrig and Justin Stander and Alex K. Jones}, title = {Pipelining Tradeoffs of Massively Parallel SuperCISC Hardware Functions}, booktitle = {21th International Parallel and Distributed Processing Symposium {(IPDPS} 2007), Proceedings, 26-30 March 2007, Long Beach, California, {USA}}, pages = {1--8}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/IPDPS.2007.370468}, doi = {10.1109/IPDPS.2007.370468}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/IhrigSJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/JonesHOLSM07, author = {Alex K. Jones and Raymond R. Hoare and Joseph St. Onge and Joshua M. Lucas and Shuyi Shao and Rami G. Melhem}, title = {Linking Compilation and Visualization for Massively Parallel Programs}, booktitle = {21th International Parallel and Distributed Processing Symposium {(IPDPS} 2007), Proceedings, 26-30 March 2007, Long Beach, California, {USA}}, pages = {1--8}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/IPDPS.2007.370470}, doi = {10.1109/IPDPS.2007.370470}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/JonesHOLSM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/MehtaSBHJ07, author = {Gayatri Mehta and Justin Stander and Mustafa Baz and Brady Hunsaker and Alex K. Jones}, title = {Interconnect Customization for a Coarse-grained Reconfigurable Fabric}, booktitle = {21th International Parallel and Distributed Processing Symposium {(IPDPS} 2007), Proceedings, 26-30 March 2007, Long Beach, California, {USA}}, pages = {1--8}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/IPDPS.2007.370370}, doi = {10.1109/IPDPS.2007.370370}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/MehtaSBHJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mse/JonesDMCM07, author = {Alex K. Jones and Swapna R. Dontharaju and Leonid Mats and James T. Cain and Marlin H. Mickle}, title = {Exploring {RFID} Prototyping in the Virtual Laboratory}, booktitle = {{IEEE} International Conference on Microelectronic Systems Education, {MSE} '07, San Diego, CA, USA, June 3-4, 2007}, pages = {137--138}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/MSE.2007.41}, doi = {10.1109/MSE.2007.41}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mse/JonesDMCM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mse/JonesLRX07, author = {Alex K. Jones and Steven P. Levitan and Rob A. Rutenbar and Yuan Xie}, title = {Collaborative {VLSI-CAD} Instruction in the Digital Sandbox}, booktitle = {{IEEE} International Conference on Microelectronic Systems Education, {MSE} '07, San Diego, CA, USA, June 3-4, 2007}, pages = {141--142}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/MSE.2007.29}, doi = {10.1109/MSE.2007.29}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mse/JonesLRX07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasp/HoareJKFFTM06, author = {Raymond R. Hoare and Alex K. Jones and Dara Kusic and Joshua Fazekas and John Foster and Shen Chih Tung and Michael L. McCloud}, title = {Rapid {VLIW} Processor Customization for Signal Processing Applications Using Combinational Hardware Functions}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2006}, year = {2006}, url = {https://doi.org/10.1155/ASP/2006/46472}, doi = {10.1155/ASP/2006/46472}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejasp/HoareJKFFTM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejes/SchusterGHJ06, author = {Jeffrey William Schuster and Kshitij Gupta and Raymond Hoare and Alex K. Jones}, title = {Speech Silicon: An {FPGA} Architecture for Real-Time Hidden Markov-Model-Based Speech Recognition}, journal = {{EURASIP} J. Embed. Syst.}, volume = {2006}, year = {2006}, url = {https://doi.org/10.1155/ES/2006/48085}, doi = {10.1155/ES/2006/48085}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejes/SchusterGHJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/JonesZA06, author = {Alex K. Jones and Jiang Zheng and Ahmed Amer}, title = {Entropy Based Evaluation of Communication Predictability in Parallel Applications}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {89-D}, number = {2}, pages = {469--478}, year = {2006}, url = {https://doi.org/10.1093/ietisy/e89-d.2.469}, doi = {10.1093/IETISY/E89-D.2.469}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/JonesZA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijrfita/JonesDTHMHCM06, author = {Alex K. Jones and Swapna R. Dontharaju and Shen Chih Tung and Peter J. Hawrylak and Leonid Mats and Raymond R. Hoare and James T. Cain and Marlin H. Mickle}, title = {Passive active radio frequency identification tags}, journal = {Int. J. Radio Freq. Identif. Technol. Appl.}, volume = {1}, number = {1}, pages = {52--73}, year = {2006}, url = {https://doi.org/10.1504/IJRFITA.2006.010711}, doi = {10.1504/IJRFITA.2006.010711}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijrfita/JonesDTHMHCM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/MehtaSLHHJ06, author = {Gayatri Mehta and Justin Stander and Joshua M. Lucas and Raymond R. Hoare and Brady Hunsaker and Alex K. Jones}, title = {A Low-Energy Reconfigurable Fabric for the SuperCISC Architecture}, journal = {J. Low Power Electron.}, volume = {2}, number = {2}, pages = {148--164}, year = {2006}, url = {https://doi.org/10.1166/jolpe.2006.073}, doi = {10.1166/JOLPE.2006.073}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/MehtaSLHHJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/LucasHKJ06, author = {Joshua M. Lucas and Raymond Hoare and Ivan S. Kourtev and Alex K. Jones}, title = {Technology mapping for Field Programmable Gate Arrays using Content-Addressable Memory {(CAM)}}, journal = {Microprocess. Microsystems}, volume = {30}, number = {7}, pages = {445--456}, year = {2006}, url = {https://doi.org/10.1016/j.micpro.2006.04.002}, doi = {10.1016/J.MICPRO.2006.04.002}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/LucasHKJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/JonesHKSMF06, author = {Alex K. Jones and Raymond R. Hoare and Dara Kusic and Justin Stander and Gayatri Mehta and Joshua Fazekas}, title = {A {VLIW} Processor With Hardware Functions: Increasing Performance While Reducing Power}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {53-II}, number = {11}, pages = {1250--1254}, year = {2006}, url = {https://doi.org/10.1109/TCSII.2006.882849}, doi = {10.1109/TCSII.2006.882849}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/JonesHKSMF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/JonesHKMFF06, author = {Alex K. Jones and Raymond Hoare and Dara Kusic and Gayatri Mehta and Joshua Fazekas and John Foster}, title = {Reducing power while increasing performance with supercisc}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {5}, number = {3}, pages = {658--686}, year = {2006}, url = {https://doi.org/10.1145/1165780.1165785}, doi = {10.1145/1165780.1165785}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/JonesHKMFF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JonesHDTSFCM06, author = {Alex K. Jones and Raymond R. Hoare and Swapna R. Dontharaju and Shen Chih Tung and Ralph Sprang and Joshua Fazekas and James T. Cain and Marlin H. Mickle}, editor = {Ellen Sentovich}, title = {An automated, reconfigurable, low-power {RFID} tag}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {131--136}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146948}, doi = {10.1145/1146909.1146948}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JonesHDTSFCM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/JonesHDTSFCM06, author = {Alex K. Jones and Raymond R. Hoare and Swapna R. Dontharaju and Shen Chih Tung and Ralph Sprang and Joshua Fazekas and James T. Cain and Marlin H. Mickle}, title = {A Field Programmable {RFID} Tag and Associated Design Flow}, booktitle = {14th {IEEE} Symposium on Field-Programmable Custom Computing Machines {(FCCM} 2006), 24-26 April 2006, Napa, CA, USA, Proceedings}, pages = {165--174}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/FCCM.2006.7}, doi = {10.1109/FCCM.2006.7}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/JonesHDTSFCM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/HoareKJ06, author = {Raymond R. Hoare and Ivan S. Kourtev and Alex K. Jones}, title = {Technology Mapping for Field Programmable Gate Arrays using Content-Addressable Memory {(CAM)}}, booktitle = {14th {IEEE} Symposium on Field-Programmable Custom Computing Machines {(FCCM} 2006), 24-26 April 2006, Napa, CA, USA, Proceedings}, pages = {299--300}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/FCCM.2006.68}, doi = {10.1109/FCCM.2006.68}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/HoareKJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/MehtaHSJ06, author = {Gayatri Mehta and Raymond R. Hoare and Justin Stander and Alex K. Jones}, title = {A Low-Energy Reconfigurable Fabric for the SuperCISC Architecture}, booktitle = {14th {IEEE} Symposium on Field-Programmable Custom Computing Machines {(FCCM} 2006), 24-26 April 2006, Napa, CA, USA, Proceedings}, pages = {309--310}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/FCCM.2006.9}, doi = {10.1109/FCCM.2006.9}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/MehtaHSJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/MehtaHSJ06, author = {Gayatri Mehta and Raymond R. Hoare and Justin Stander and Alex K. Jones}, title = {Design space exploration for low-power reconfigurable fabrics}, booktitle = {20th International Parallel and Distributed Processing Symposium {(IPDPS} 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/IPDPS.2006.1639484}, doi = {10.1109/IPDPS.2006.1639484}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/MehtaHSJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/ShaoJM06, author = {Shuyi Shao and Alex K. Jones and Rami G. Melhem}, title = {A compiler-based communication analysis approach for multiprocessor systems}, booktitle = {20th International Parallel and Distributed Processing Symposium {(IPDPS} 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/IPDPS.2006.1639322}, doi = {10.1109/IPDPS.2006.1639322}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/ShaoJM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuHJS06, author = {Ying Yu and Raymond R. Hoare and Alex K. Jones and Ralph Sprang}, title = {A hybrid encoding scheme for efficient single-cycle range matching in content addressable memory}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692704}, doi = {10.1109/ISCAS.2006.1692704}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuHJS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/HoareDJ06, author = {Raymond R. Hoare and Zhu Ding and Alex K. Jones}, title = {Interconnect routing and scheduling - {A} near-optimal real-time hardware scheduler for large cardinality crossbar switches}, booktitle = {Proceedings of the {ACM/IEEE} {SC2006} Conference on High Performance Networking and Computing, November 11-17, 2006, Tampa, FL, {USA}}, pages = {94}, publisher = {{ACM} Press}, year = {2006}, url = {https://doi.org/10.1145/1188455.1188554}, doi = {10.1145/1188455.1188554}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/HoareDJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/DingHJM06, author = {Zhu Ding and Raymond R. Hoare and Alex K. Jones and Rami G. Melhem}, title = {Interconnect routing and scheduling - Level-wise scheduling algorithm for fat tree interconnection networks}, booktitle = {Proceedings of the {ACM/IEEE} {SC2006} Conference on High Performance Networking and Computing, November 11-17, 2006, Tampa, FL, {USA}}, pages = {96}, publisher = {{ACM} Press}, year = {2006}, url = {https://doi.org/10.1145/1188455.1188556}, doi = {10.1145/1188455.1188556}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/DingHJM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/TangJJB05, author = {Xiaoyong Tang and Tianyi Jiang and Alex K. Jones and Prithviraj Banerjee}, title = {High-Level Synthesis for Low Power Hardware Implementation of Unscheduled Data-Dominated Circuits}, journal = {J. Low Power Electron.}, volume = {1}, number = {3}, pages = {259--272}, year = {2005}, url = {https://doi.org/10.1166/jolpe.2005.050}, doi = {10.1166/JOLPE.2005.050}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/TangJJB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/HoareDTMJ05, author = {Raymond R. Hoare and Zhu Ding and Shen Chih Tung and Rami G. Melhem and Alex K. Jones}, title = {A framework for the design, synthesis and cycle-accurate simulation of multiprocessor networks}, journal = {J. Parallel Distributed Comput.}, volume = {65}, number = {10}, pages = {1237--1252}, year = {2005}, url = {https://doi.org/10.1016/j.jpdc.2005.04.022}, doi = {10.1016/J.JPDC.2005.04.022}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/HoareDTMJ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/LucasHJ05, author = {Joshua M. Lucas and Raymond Hoare and Alex K. Jones}, title = {Optimizing Technology Mapping for FPGAs Using CAMs}, booktitle = {13th {IEEE} Symposium on Field-Programmable Custom Computing Machines {(FCCM} 2005), 17-20 April 2005, Napa, CA, USA, Proceedings}, pages = {293--294}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/FCCM.2005.50}, doi = {10.1109/FCCM.2005.50}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/LucasHJ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/JonesHKFF05, author = {Alex K. Jones and Raymond Hoare and Dara Kusic and Joshua Fazekas and John Foster}, editor = {Herman Schmit and Steven J. E. Wilton}, title = {An FPGA-based {VLIW} processor with custom hardware execution}, booktitle = {Proceedings of the {ACM/SIGDA} 13th International Symposium on Field Programmable Gate Arrays, {FPGA} 2005, Monterey, California, USA, February 20-22, 2005}, pages = {107--117}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1046192.1046207}, doi = {10.1145/1046192.1046207}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpga/JonesHKFF05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/DingHJLSTZM05, author = {Zhu Ding and Raymond R. Hoare and Alex K. Jones and Dan Li and Shou{-}Kuo Shao and Shen{-}Chien Tung and Jiang Zheng and Rami G. Melhem}, title = {Switch Design to Enable Predictive Multiplexed Switching in Multiprocessor Networks}, booktitle = {19th International Parallel and Distributed Processing Symposium {(IPDPS} 2005), {CD-ROM} / Abstracts Proceedings, 4-8 April 2005, Denver, CO, {USA}}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IPDPS.2005.416}, doi = {10.1109/IPDPS.2005.416}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/DingHJLSTZM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/KusicHJFF05, author = {Dara Kusic and Raymond Hoare and Alex K. Jones and Joshua Fazekas and John Foster}, title = {Extracting Speedup From C-Code With Poor Instruction-Level Parallelism}, booktitle = {19th International Parallel and Distributed Processing Symposium {(IPDPS} 2005), {CD-ROM} / Abstracts Proceedings, 4-8 April 2005, Denver, CO, {USA}}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IPDPS.2005.216}, doi = {10.1109/IPDPS.2005.216}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/KusicHJFF05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/BarkerBHHJKLMRSSSW05, author = {Kevin J. Barker and Alan F. Benner and Raymond R. Hoare and Adolfy Hoisie and Alex K. Jones and Darren J. Kerbyson and Dan Li and Rami G. Melhem and Ramakrishnan Rajamony and Eugen Schenfeld and Shuyi Shao and Craig B. Stunkel and Peter Walker}, title = {On the Feasibility of Optical Circuit Switching for High Performance Computing Systems}, booktitle = {Proceedings of the {ACM/IEEE} {SC2005} Conference on High Performance Networking and Computing, November 12-18, 2005, Seattle, WA, USA, CD-Rom}, pages = {16}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/SC.2005.48}, doi = {10.1109/SC.2005.48}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sc/BarkerBHHJKLMRSSSW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/TangJJB05, author = {Xiaoyong Tang and Tianyi Jiang and Alex K. Jones and Prithviraj Banerjee}, title = {Behavioral Synthesis of Data-Dominated Circuits for Minimal Energy Implementation}, booktitle = {18th International Conference on {VLSI} Design {(VLSI} Design 2005), with the 4th International Conference on Embedded Systems Design, 3-7 January 2005, Kolkata, India}, pages = {267--273}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICVD.2005.62}, doi = {10.1109/ICVD.2005.62}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/TangJJB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/BradyJK04, author = {Bryan A. Brady and Alex K. Jones and Ivan S. Kourtev}, title = {Efficient {CAD} development for emerging technologies using Objective-C and Cocoa}, booktitle = {Proceedings of the 2004 11th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2004, Tel Aviv, Israel, December 13-15, 2004}, pages = {369--372}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ICECS.2004.1399695}, doi = {10.1109/ICECS.2004.1399695}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/BradyJK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/JonesHKFKFBM04, author = {Alex K. Jones and Raymond Hoare and Ivan S. Kourtev and Joshua Fazekas and Dara Kusic and John Foster and Sedric Boddie and Ahmed Muaydh}, title = {A 64-way {VLIW/SIMD} {FPGA} architecture and design flow}, booktitle = {Proceedings of the 2004 11th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2004, Tel Aviv, Israel, December 13-15, 2004}, pages = {499--502}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ICECS.2004.1399727}, doi = {10.1109/ICECS.2004.1399727}, timestamp = {Thu, 13 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icecsys/JonesHKFKFBM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/LucasHKJ04, author = {Joshua M. Lucas and Raymond R. Hoare and Ivan S. Kourtev and Alex K. Jones}, title = {{LURU:} global-scope {FPGA} technology mapping with content-addressable memories}, booktitle = {Proceedings of the 2004 11th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2004, Tel Aviv, Israel, December 13-15, 2004}, pages = {599--602}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ICECS.2004.1399752}, doi = {10.1109/ICECS.2004.1399752}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/LucasHKJ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/MukherjeeJB04, author = {Rajarshi Mukherjee and Alex K. Jones and Prithviraj Banerjee}, title = {Handling Data Streams while Compiling {C} Programs onto Hardware}, booktitle = {2004 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI} 2004), Emerging Trends in {VLSI} Systems Design, 19-20 February 2004, Lafayette, LA, {USA}}, pages = {271--272}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISVLSI.2004.1339553}, doi = {10.1109/ISVLSI.2004.1339553}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/MukherjeeJB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/JonesB03, author = {Alex K. Jones and Prithviraj Banerjee}, title = {An Automated and Power-Aware Framework for Utilization of {IP} Cores in Hardware Generated from {C} Descriptions Targeting FPGAs}, booktitle = {11th {IEEE} Symposium on Field-Programmable Custom Computing Machines {(FCCM} 2003), 8-11 April 2003, Napa, CA, USA, Proceedings}, pages = {284--285}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/FPGA.2003.1227272}, doi = {10.1109/FPGA.2003.1227272}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/JonesB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/JonesB03, author = {Alex K. Jones and Prithviraj Banerjee}, editor = {Steve Trimberger and Russell Tessier}, title = {An automated and power-aware framework for utilization of {IP} cores in hardware generated from {C} descriptions targeting FPGAs}, booktitle = {Proceedings of the {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, {FPGA} 2003, Monterey, CA, USA, February 23-25, 2003}, pages = {244}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/611817.611873}, doi = {10.1145/611817.611873}, timestamp = {Tue, 06 Nov 2018 16:58:22 +0100}, biburl = {https://dblp.org/rec/conf/fpga/JonesB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/JonesBPTCB02, author = {Alex K. Jones and Debabrata Bagchi and Satrajit Pal and Xiaoyong Tang and Alok N. Choudhary and Prithviraj Banerjee}, editor = {Shuvra S. Bhattacharyya and Trevor N. Mudge and Wayne H. Wolf and Ahmed Amine Jerraya}, title = {{PACT} {HDL:} a {C} compiler targeting ASICs and FPGAs with power and performance optimizations}, booktitle = {Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, {CASES} 2002, Greenoble, France, October 8-11, 2002}, pages = {188--197}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/581630.581659}, doi = {10.1145/581630.581659}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/JonesBPTCB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/BanerjeeSCHBHJJKNPWZ00, author = {Prithviraj Banerjee and U. Nagaraj Shenoy and Alok N. Choudhary and Scott Hauck and C. Bachmann and Malay Haldar and Pramod G. Joisha and Alex K. Jones and Abhay Kanhere and Anshuman Nayak and S. Periyacheri and M. Walkden and David Zaretsky}, title = {A {MATLAB} Compiler for Distributed, Heterogeneous, Reconfigurable Computing Systems}, booktitle = {8th {IEEE} Symposium on Field-Programmable Custom Computing Machines {(FCCM} 2000), 17-19 April 2000, Napa Valley, CA, USA, Proceedings}, pages = {39--48}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/FPGA.2000.903391}, doi = {10.1109/FPGA.2000.903391}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/BanerjeeSCHBHJJKNPWZ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.