Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Seh-Woong Jeong
@inproceedings{DBLP:conf/date/KwonYUJ09, author = {Woo{-}Cheol Kwon and Sungjoo Yoo and Junhyung Um and Seh{-}Woong Jeong}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {In-network reorder buffer to improve overall NoC performance while resolving the in-order requirement problem}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {1058--1063}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090821}, doi = {10.1109/DATE.2009.5090821}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/KwonYUJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimPKJJPS07, author = {Young{-}Sang Kim and Seung{-}Jin Park and Yong{-}Sub Kim and Dong{-}Bi Jang and Seh{-}Woong Jeong and Hong{-}June Park and Jae{-}Yoon Sim}, title = {A 40-to-800MHz Locking Multi-Phase {DLL}}, booktitle = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, pages = {306--605}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSCC.2007.373416}, doi = {10.1109/ISSCC.2007.373416}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimPKJJPS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimCYKLBKPKPSLP06, author = {Donghyun Kim and Kyusik Chung and Chang{-}Hyo Yu and Chun{-}Ho Kim and Inho Lee and Jaewan Bae and Young{-}Jun Kim and Jae{-}Hyeon Park and Sungbeen Kim and Yong{-}Ha Park and Nak Hee Seong and Jin{-}Aeon Lee and Jaehong Park and Stephen Oh and Seh{-}Woong Jeong and Lee{-}Sup Kim}, title = {An SoC with 1.3 gtexels/s 3-D graphics full pipeline for consumer applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {41}, number = {1}, pages = {71--84}, year = {2006}, url = {https://doi.org/10.1109/JSSC.2005.859330}, doi = {10.1109/JSSC.2005.859330}, timestamp = {Fri, 15 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimCYKLBKPKPSLP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LeeJKW03, author = {Jung{-}Hoon Lee and Seh{-}Woong Jeong and Shin{-}Dug Kim and Charles C. Weems}, title = {An Intelligent Cache System with Hardware Prefetching for High Performance}, journal = {{IEEE} Trans. Computers}, volume = {52}, number = {5}, pages = {607--616}, year = {2003}, url = {https://doi.org/10.1109/TC.2003.1197127}, doi = {10.1109/TC.2003.1197127}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/LeeJKW03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/ChoiLJKW02, author = {Jin{-}Hyuck Choi and Jung{-}Hoon Lee and Seh{-}Woong Jeong and Shin{-}Dug Kim and Charles C. Weems}, title = {A Low Power {TLB} Structure for Embedded Systems}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {1}, year = {2002}, url = {https://doi.org/10.1109/L-CA.2002.1}, doi = {10.1109/L-CA.2002.1}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/ChoiLJKW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/LeeJKW02, author = {Jung{-}Hoon Lee and Seh{-}Woong Jeong and Shin{-}Dug Kim and Charles C. Weems}, title = {A banked-promotion translation lookaside buffer system}, journal = {J. Syst. Archit.}, volume = {47}, number = {14-15}, pages = {1065--1078}, year = {2002}, url = {https://doi.org/10.1016/S1383-7621(02)00057-7}, doi = {10.1016/S1383-7621(02)00057-7}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/LeeJKW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/JangCJS02, author = {Woo{-}Young Jang and Byung{-}Hoan Chon and Seh{-}Woong Jeong and Kwanghoon Sohn}, title = {Grouped zerotree wavelet image coding for very low bit rate}, booktitle = {Proceedings of the 2002 International Conference on Image Processing, {ICIP} 2002, Rochester, New York, USA, September 22-25, 2002}, pages = {261--264}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ICIP.2002.1038955}, doi = {10.1109/ICIP.2002.1038955}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/JangCJS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/LimJKY01, author = {Kyoung{-}Mook Lim and Seh{-}Woong Jeong and Yong{-}Chun Kim and H. S. Yang}, title = {CalmRISC\({}^{\mbox{TM}}\): a low power microcontroller with efficient coprocessor interface}, journal = {Microprocess. Microsystems}, volume = {25}, number = {5}, pages = {247--261}, year = {2001}, url = {https://doi.org/10.1016/S0141-9331(01)00118-1}, doi = {10.1016/S0141-9331(01)00118-1}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/LimJKY01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LeeLJK01, author = {Jung{-}Hoon Lee and Jang{-}Soo Lee and Seh{-}Woong Jeong and Shin{-}Dug Kim}, title = {A Banked-Promotion {TLB} for High Performance and Low Power}, booktitle = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI} in Computers and Processors, 23-26 September 2001, Austin, TX, USA, Proceedings}, pages = {118--123}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICCD.2001.955013}, doi = {10.1109/ICCD.2001.955013}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LeeLJK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChoJKJ01, author = {Sangyeun Cho and Wooyoung Jung and Yongchun Kim and Seh{-}Woong Jeong}, title = {A Low-Power Cache Design for CalmRISC\({}^{\mbox{TM}}\)-Based Systems}, booktitle = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI} in Computers and Processors, 23-26 September 2001, Austin, TX, USA, Proceedings}, pages = {394--399}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICCD.2001.955057}, doi = {10.1109/ICCD.2001.955057}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChoJKJ01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ReungsangPJRL01, author = {Pipat Reungsang and Sun Kyu Park and Seh{-}Woong Jeong and Hyung{-}Lae Roh and Gyungho Lee}, title = {Reducing Cache Pollution of Prefetching in a Small Data Cache}, booktitle = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI} in Computers and Processors, 23-26 September 2001, Austin, TX, USA, Proceedings}, pages = {530--533}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICCD.2001.955085}, doi = {10.1109/ICCD.2001.955085}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ReungsangPJRL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LimJKJKKCRY99, author = {Kyoung{-}Mook Lim and Seh{-}Woong Jeong and Yong{-}Chun Kim and Seung{-}Jae Jeong and Hong{-}Kyu Kim and Yang{-}Ho Kim and Bong{-}Young Chung and Hyung{-}Lae Roh and H. S. Yang}, title = {CalmRISC\({}^{\mbox{TM}}\): {A} Low Power Microcontroller with Efficient Coprocessor Interface}, booktitle = {Proceedings of the {IEEE} International Conference On Computer Design, {VLSI} in Computers and Processors, {ICCD} '99, Austin, Texas, USA, October 10-13, 1999}, pages = {299--302}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICCD.1999.808554}, doi = {10.1109/ICCD.1999.808554}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LimJKJKKCRY99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/PixleyJH94, author = {Carl Pixley and Seh{-}Woong Jeong and Gary D. Hachtel}, title = {Exact calculation of synchronizing sequences based on binary decision diagrams}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {13}, number = {8}, pages = {1024--1034}, year = {1994}, url = {https://doi.org/10.1109/43.298038}, doi = {10.1109/43.298038}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/PixleyJH94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/ChoJSP93, author = {Hyunwoo Cho and Seh{-}Woong Jeong and Fabio Somenzi and Carl Pixley}, title = {Synchronizing sequences and symbolic traversal techniques in test generation}, journal = {J. Electron. Test.}, volume = {4}, number = {1}, pages = {19--31}, year = {1993}, url = {https://doi.org/10.1007/BF00971937}, doi = {10.1007/BF00971937}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/ChoJSP93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PixleyJH92, author = {Carl Pixley and Seh{-}Woong Jeong and Gary D. Hachtel}, editor = {Daniel G. Schweikert}, title = {Exact Calculation of Synchronization Sequences Based on Binary Decision Diagrams}, booktitle = {Proceedings of the 29th Design Automation Conference, Anaheim, California, USA, June 8-12, 1992}, pages = {620--623}, publisher = {{IEEE} Computer Society Press}, year = {1992}, url = {http://portal.acm.org/citation.cfm?id=113938.149645}, timestamp = {Thu, 16 Mar 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PixleyJH92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JeongS92, author = {Seh{-}Woong Jeong and Fabio Somenzi}, editor = {Louise Trevillyan and Michael R. Lightner}, title = {A new algorithm for the binate covering problem and its application to the minimization of Boolean relations}, booktitle = {1992 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1992, Santa Clara, CA, USA, November 8-12, 1992. Digest of Technical Papers}, pages = {417--420}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1992}, url = {https://doi.org/10.1109/ICCAD.1992.279335}, doi = {10.1109/ICCAD.1992.279335}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JeongS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JeongPHS91, author = {Seh{-}Woong Jeong and Bernard Plessier and Gary D. Hachtel and Fabio Somenzi}, title = {Extended BDD's: Trading off Canonicity for Structure in Verification Algorithms}, booktitle = {1991 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}, pages = {464--467}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICCAD.1991.185305}, doi = {10.1109/ICCAD.1991.185305}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JeongPHS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dimacs/ChoHJPSS90, author = {Hyunwoo Cho and Gary D. Hachtel and Seh{-}Woong Jeong and Bernard Plessier and Eric M. Schwarz and Fabio Somenzi}, editor = {Edmund M. Clarke and Robert P. Kurshan}, title = {Results on the Interface between Formal Verification and {ATPG}}, booktitle = {Computer-Aided Verification, Proceedings of a {DIMACS} Workshop 1990, New Brunswick, New Jersey, USA, June 18-21, 1990}, series = {{DIMACS} Series in Discrete Mathematics and Theoretical Computer Science}, volume = {3}, pages = {615--628}, publisher = {{DIMACS/AMS}}, year = {1990}, url = {https://doi.org/10.1090/dimacs/003/38}, doi = {10.1090/DIMACS/003/38}, timestamp = {Mon, 22 May 2023 16:07:35 +0200}, biburl = {https://dblp.org/rec/conf/dimacs/ChoHJPSS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChoHJPSS90, author = {Hyunwoo Cho and Gary D. Hachtel and Seh{-}Woong Jeong and Bernard Plessier and Eric M. Schwarz and Fabio Somenzi}, title = {{ATPG} Aspects of {FSM} Verification}, booktitle = {{IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1990, Santa Clara, CA, USA, November 11-15, 1990. Digest of Technical Papers}, pages = {134--137}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://doi.org/10.1109/ICCAD.1990.129861}, doi = {10.1109/ICCAD.1990.129861}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChoHJPSS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.