Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Franco Fummi
@article{DBLP:journals/access/CapogrossoCCFC24, author = {Luigi Capogrosso and Federico Cunico and Dong Seon Cheng and Franco Fummi and Marco Cristani}, title = {A Machine Learning-Oriented Survey on Tiny Machine Learning}, journal = {{IEEE} Access}, volume = {12}, pages = {23406--23426}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3365349}, doi = {10.1109/ACCESS.2024.3365349}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/CapogrossoCCFC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/TosoniDFVF24, author = {Francesco Tosoni and Nicola Dall'Ora and Enrico Fraccaroli and Sara Vinco and Franco Fummi}, title = {Multidomain Fault Models Covering the Analog Side of a Smart or Cyber-Physical System}, journal = {{IEEE} Trans. Computers}, volume = {73}, number = {3}, pages = {829--841}, year = {2024}, url = {https://doi.org/10.1109/TC.2023.3345135}, doi = {10.1109/TC.2023.3345135}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/TosoniDFVF24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/AzamDFGF24, author = {Sadia Azam and Nicola Dall'Ora and Enrico Fraccaroli and Renaud Gillon and Franco Fummi}, title = {Analog Defect Injection and Fault Simulation Techniques: {A} Systematic Literature Review}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {43}, number = {1}, pages = {16--29}, year = {2024}, url = {https://doi.org/10.1109/TCAD.2023.3298698}, doi = {10.1109/TCAD.2023.3298698}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/AzamDFGF24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/GaiardelliLSF24, author = {Sebastiano Gaiardelli and Michele Lora and Stefano Spellini and Franco Fummi}, title = {{RRPDG:} {A} Graph Model to Enable AI-Based Production Reconfiguration and Optimization}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {20}, number = {4}, pages = {6844--6854}, year = {2024}, url = {https://doi.org/10.1109/TII.2024.3352645}, doi = {10.1109/TII.2024.3352645}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/GaiardelliLSF24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/LumppFPB24, author = {Francesco Lumpp and Franco Fummi and Hiren D. Patel and Nicola Bombieri}, title = {Enabling Kubernetes Orchestration of Mixed-Criticality Software for Autonomous Mobile Robots}, journal = {{IEEE} Trans. Robotics}, volume = {40}, pages = {540--553}, year = {2024}, url = {https://doi.org/10.1109/TRO.2023.3334642}, doi = {10.1109/TRO.2023.3334642}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/trob/LumppFPB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/visigrapp/CapogrossoGTCAF24, author = {Luigi Capogrosso and Federico Girella and Francesco Taioli and Michele Dalla Chiara and Muhammad Aqeel and Franco Fummi and Francesco Setti and Marco Cristani}, editor = {Petia Radeva and Antonino Furnari and Kadi Bouatouch and A. Augusto de Sousa}, title = {Diffusion-Based Image Generation for In-Distribution Data Augmentation in Surface Defect Detection}, booktitle = {Proceedings of the 19th International Joint Conference on Computer Vision, Imaging and Computer Graphics Theory and Applications, {VISIGRAPP} 2024, Volume 2: VISAPP, Rome, Italy, February 27-29, 2024}, pages = {409--416}, publisher = {{SCITEPRESS}}, year = {2024}, url = {https://doi.org/10.5220/0012350400003660}, doi = {10.5220/0012350400003660}, timestamp = {Mon, 08 Apr 2024 14:14:40 +0200}, biburl = {https://dblp.org/rec/conf/visigrapp/CapogrossoGTCAF24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TurettaSCDKMFCP23, author = {Cristian Turetta and Geri Skenderi and Luigi Capogrosso and Florenc Demrozi and Philipp H. Kindt and Alejandro Masrur and Franco Fummi and Marco Cristani and Graziano Pravadelli}, title = {Towards Deep Learning-based Occupancy Detection Via WiFi Sensing in Unconstrained Environments}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10137260}, doi = {10.23919/DATE56975.2023.10137260}, timestamp = {Wed, 07 Jun 2023 22:08:03 +0200}, biburl = {https://dblp.org/rec/conf/date/TurettaSCDKMFCP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ddecs/CapogrossoCLCFQ23, author = {Luigi Capogrosso and Federico Cunico and Michele Lora and Marco Cristani and Franco Fummi and Davide Quaglia}, editor = {Maksim Jenihhin and Hana Kub{\'{a}}tov{\'{a}} and Nele Metens and Jaan Raik and Foisal Ahmed and Jan Belohoubek}, title = {Split-Et-Impera: {A} Framework for the Design of Distributed Deep Learning Applications}, booktitle = {26th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, {DDECS} 2023, Tallinn, Estonia, May 3-5, 2023}, pages = {39--44}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DDECS57882.2023.10139711}, doi = {10.1109/DDECS57882.2023.10139711}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ddecs/CapogrossoCLCFQ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ddecs/CapogrossoGCFV23, author = {Luigi Capogrosso and Luca Geretti and Marco Cristani and Franco Fummi and Tiziano Villa}, editor = {Maksim Jenihhin and Hana Kub{\'{a}}tov{\'{a}} and Nele Metens and Jaan Raik and Foisal Ahmed and Jan Belohoubek}, title = {HermesBDD: {A} Multi-Core and Multi-Platform Binary Decision Diagram Package}, booktitle = {26th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, {DDECS} 2023, Tallinn, Estonia, May 3-5, 2023}, pages = {87--90}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DDECS57882.2023.10139480}, doi = {10.1109/DDECS57882.2023.10139480}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ddecs/CapogrossoGCFV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/BeghiDPFMSST23, author = {Alessandro Beghi and Nicola Dall'Ora and Davide Dalle Pezze and Franco Fummi and Chiara Masiero and Stefano Spellini and Gian Antonio Susto and Francesco Tosoni}, title = {{VIR2EM:} VIrtualization and Remotization for Resilient and Efficient Manufacturing: Project-Dissemination Paper}, booktitle = {Forum on Specification {\&} Design Languages, {FDL} 2023, Turin, Italy, September 13-15, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/FDL59689.2023.10272156}, doi = {10.1109/FDL59689.2023.10272156}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fdl/BeghiDPFMSST23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/CapogrossoMGSGDPFCVMFC23, author = {Luigi Capogrosso and Alessio Mascolini and Federico Girella and Geri Skenderi and Sebastiano Gaiardelli and Nicola Dall'Ora and Francesco Ponzio and Enrico Fraccaroli and Santa Di Cataldo and Sara Vinco and Enrico Macii and Franco Fummi and Marco Cristani}, title = {Neuro-Symbolic Empowered Denoising Diffusion Probabilistic Models for Real-Time Anomaly Detection in Industry 4.0: Wild-and-Crazy-Idea Paper}, booktitle = {Forum on Specification {\&} Design Languages, {FDL} 2023, Turin, Italy, September 13-15, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/FDL59689.2023.10272095}, doi = {10.1109/FDL59689.2023.10272095}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fdl/CapogrossoMGSGDPFCVMFC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/DallOraAFGF23, author = {Nicola Dall'Ora and Sadia Azam and Enrico Fraccaroli and Renaud Gillon and Franco Fummi}, editor = {Himanshu Thapliyal and Ronald F. DeMara and Inna Partin{-}Vaisband and Srinivas Katkoori}, title = {Verilog-A Implementation of Generic Defect Templates for Analog Fault Injection}, booktitle = {Proceedings of the Great Lakes Symposium on {VLSI} 2023, {GLSVLSI} 2023, Knoxville, TN, USA, June 5-7, 2023}, pages = {477--481}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3583781.3590317}, doi = {10.1145/3583781.3590317}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/DallOraAFGF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/MascoliniGPDMVCF23, author = {Alessio Mascolini and Sebastiano Gaiardelli and Francesco Ponzio and Nicola Dall'Ora and Enrico Macii and Sara Vinco and Santa Di Cataldo and Franco Fummi}, title = {Robotic Arm Dataset (RoAD): {A} Dataset to Support the Design and Test of Machine Learning-Driven Anomaly Detection in a Production Line}, booktitle = {49th Annual Conference of the {IEEE} Industrial Electronics Society, {IECON} 2023, Singapore, October 16-19, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IECON51785.2023.10311726}, doi = {10.1109/IECON51785.2023.10311726}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iecon/MascoliniGPDMVCF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indin/TosoniDFVF23, author = {Francesco Tosoni and Nicola Dall'Ora and Enrico Fraccaroli and Sara Vinco and Franco Fummi}, title = {Thermal Digital Twin of a Multi-Domain System for Discovering Mechanical Faulty Behaviors}, booktitle = {21st {IEEE} International Conference on Industrial Informatics, {INDIN} 2023, Lemgo, Germany, July 18-20, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/INDIN51400.2023.10218266}, doi = {10.1109/INDIN51400.2023.10218266}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/indin/TosoniDFVF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-12524, author = {Luigi Capogrosso and Federico Cunico and Michele Lora and Marco Cristani and Franco Fummi and Davide Quaglia}, title = {Split-Et-Impera: {A} Framework for the Design of Distributed Deep Learning Applications}, journal = {CoRR}, volume = {abs/2303.12524}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.12524}, doi = {10.48550/ARXIV.2303.12524}, eprinttype = {arXiv}, eprint = {2303.12524}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-12524.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-00039, author = {Luigi Capogrosso and Luca Geretti and Marco Cristani and Franco Fummi and Tiziano Villa}, title = {HermesBDD: {A} Multi-Core and Multi-Platform Binary Decision Diagram Package}, journal = {CoRR}, volume = {abs/2305.00039}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.00039}, doi = {10.48550/ARXIV.2305.00039}, eprinttype = {arXiv}, eprint = {2305.00039}, timestamp = {Thu, 04 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-00039.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-06975, author = {Luigi Capogrosso and Alessio Mascolini and Federico Girella and Geri Skenderi and Sebastiano Gaiardelli and Nicola Dall'Ora and Francesco Ponzio and Enrico Fraccaroli and Santa Di Cataldo and Sara Vinco and Enrico Macii and Franco Fummi and Marco Cristani}, title = {Neuro-symbolic Empowered Denoising Diffusion Probabilistic Models for Real-time Anomaly Detection in Industry 4.0}, journal = {CoRR}, volume = {abs/2307.06975}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.06975}, doi = {10.48550/ARXIV.2307.06975}, eprinttype = {arXiv}, eprint = {2307.06975}, timestamp = {Mon, 24 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-06975.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-11932, author = {Luigi Capogrosso and Federico Cunico and Dong Seon Cheng and Franco Fummi and Marco Cristani}, title = {A Machine Learning-oriented Survey on Tiny Machine Learning}, journal = {CoRR}, volume = {abs/2309.11932}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.11932}, doi = {10.48550/ARXIV.2309.11932}, eprinttype = {arXiv}, eprint = {2309.11932}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-11932.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-09278, author = {Geri Skenderi and Luigi Capogrosso and Andrea Toaiari and Matteo Denitto and Franco Fummi and Simone Melzi and Marco Cristani}, title = {Disentangled Latent Spaces Facilitate Data-Driven Auxiliary Learning}, journal = {CoRR}, volume = {abs/2310.09278}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.09278}, doi = {10.48550/ARXIV.2310.09278}, eprinttype = {arXiv}, eprint = {2310.09278}, timestamp = {Wed, 25 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-09278.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/BalszunHFRFC22, author = {Michael Balszun and Clara Hobbs and Enrico Fraccaroli and Debayan Roy and Franco Fummi and Samarjit Chakraborty}, title = {Process Dynamics-Aware Flexible Manufacturing for Industry 4.0}, booktitle = {18th {IEEE} International Conference on Automation Science and Engineering, {CASE} 2022, Mexico City, Mexico, August 20-24, 2022}, pages = {2375--2382}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CASE49997.2022.9926495}, doi = {10.1109/CASE49997.2022.9926495}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/case/BalszunHFRFC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GaiardelliSPLF22, author = {Sebastiano Gaiardelli and Stefano Spellini and Marco Panato and Michele Lora and Franco Fummi}, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {A Software Architecture to Control Service-Oriented Manufacturing Systems}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {40--43}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774522}, doi = {10.23919/DATE54114.2022.9774522}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GaiardelliSPLF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/etfa/GaiardelliCSF22, author = {Sebastiano Gaiardelli and Damiano Carra and Stefano Spellini and Franco Fummi}, title = {On the Impact of Transport Times in Flexible Job Shop Scheduling Problems}, booktitle = {27th {IEEE} International Conference on Emerging Technologies and Factory Automation, {ETFA} 2022, Stuttgart, Germany, September 6-9, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ETFA52439.2022.9921441}, doi = {10.1109/ETFA52439.2022.9921441}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/etfa/GaiardelliCSF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/TosoniDFF22, author = {Francesco Tosoni and Nicola Dall'Ora and Enrico Fraccaroli and Franco Fummi}, title = {A Framework for Modeling and Concurrently Simulating Mechanical and Electrical Faults in Verilog-AMS}, booktitle = {Forum on Specification {\&} Design Languages, {FDL} 2022, Linz, Austria, September 14-16, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/FDL56239.2022.9925655}, doi = {10.1109/FDL56239.2022.9925655}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fdl/TosoniDFF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icphys/DallOraTFF22, author = {Nicola Dall'Ora and Francesco Tosoni and Enrico Fraccaroli and Franco Fummi}, title = {Inferring Mechanical Fault Models from the Electrical Domain}, booktitle = {5th {IEEE} International Conference on Industrial Cyber-Physical Systems, {ICPS} 2022, Coventry, United Kingdom, May 24-26, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICPS51978.2022.9817009}, doi = {10.1109/ICPS51978.2022.9817009}, timestamp = {Mon, 06 Nov 2023 13:38:03 +0100}, biburl = {https://dblp.org/rec/conf/icphys/DallOraTFF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/CapogrossoSGFC22, author = {Luigi Capogrosso and Geri Skenderi and Federico Girella and Franco Fummi and Marco Cristani}, editor = {Jean{-}Jacques Rousseau and Bill Kapralos}, title = {Toward Smart Doors: {A} Position Paper}, booktitle = {Pattern Recognition, Computer Vision, and Image Processing. {ICPR} 2022 International Workshops and Challenges - Montreal, QC, Canada, August 21-25, 2022, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {13644}, pages = {660--673}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-37742-6\_51}, doi = {10.1007/978-3-031-37742-6\_51}, timestamp = {Fri, 04 Aug 2023 14:31:00 +0200}, biburl = {https://dblp.org/rec/conf/icpr/CapogrossoSGFC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/CunicoCSCFC22, author = {Federico Cunico and Luigi Capogrosso and Francesco Setti and Damiano Carra and Franco Fummi and Marco Cristani}, title = {{I-SPLIT:} Deep Network Interpretability for Split Computing}, booktitle = {26th International Conference on Pattern Recognition, {ICPR} 2022, Montreal, QC, Canada, August 21-25, 2022}, pages = {2575--2581}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICPR56361.2022.9956625}, doi = {10.1109/ICPR56361.2022.9956625}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpr/CunicoCSCFC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/GaiardelliSPCF22, author = {Sebastiano Gaiardelli and Stefano Spellini and Michele Pasqua and Mariano Ceccato and Franco Fummi}, title = {Integrating Smart Contracts in Manufacturing for Automated Assessment of Production Quality}, booktitle = {{IECON} 2022 - 48th Annual Conference of the {IEEE} Industrial Electronics Society, Brussels, Belgium, October 17-20, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IECON49645.2022.9968887}, doi = {10.1109/IECON49645.2022.9968887}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iecon/GaiardelliSPCF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/LumppFPB22, author = {Francesco Lumpp and Franco Fummi and Hiren D. Patel and Nicola Bombieri}, title = {Containerization and Orchestration of Software for Autonomous Mobile Robots: a Case Study of Mixed-Criticality Tasks across Edge-Cloud Computing Platforms}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2022, Kyoto, Japan, October 23-27, 2022}, pages = {9708--9713}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IROS47612.2022.9981581}, doi = {10.1109/IROS47612.2022.9981581}, timestamp = {Tue, 03 Jan 2023 14:18:21 +0100}, biburl = {https://dblp.org/rec/conf/iros/LumppFPB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/GaiardelliSLF22, author = {Sebastiano Gaiardelli and Stefano Spellini and Michele Lora and Franco Fummi}, title = {A Hierarchical Modeling Approach to Improve Scheduling of Manufacturing Processes}, booktitle = {31st {IEEE} International Symposium on Industrial Electronics, {ISIE} 2022, Anchorage, AK, USA, June 1-3, 2022}, pages = {226--232}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISIE51582.2022.9831468}, doi = {10.1109/ISIE51582.2022.9831468}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isie/GaiardelliSLF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/AzamDFF22, author = {Sadia Azam and Nicola Dall'Ora and Enrico Fraccaroli and Franco Fummi}, title = {Functional Level Abstraction and Simulation of Verilog-AMS Piecewise Linear Models}, booktitle = {23rd International Symposium on Quality Electronic Design, {ISQED} 2022, Santa Clara, CA, USA, April 6-7, 2022}, pages = {39--44}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISQED54688.2022.9806273}, doi = {10.1109/ISQED54688.2022.9806273}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/AzamDFF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/AzamDFAGF22, author = {Sadia Azam and Nicola Dall'Ora and Enrico Fraccaroli and Andr{\'{e}} Alberts and Renaud Gillon and Franco Fummi}, title = {Investigation on Realistic Stuck-on/off Defects to Complement {IEEE} {P2427} Draft Standard}, booktitle = {23rd International Symposium on Quality Electronic Design, {ISQED} 2022, Santa Clara, CA, USA, April 6-7, 2022}, pages = {51--57}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISQED54688.2022.9806269}, doi = {10.1109/ISQED54688.2022.9806269}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/AzamDFAGF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/AlaminCGSCBSFMV22, author = {Khaled Sidahmed Sidahmed Alamin and Yukai Chen and Sebastiano Gaiardelli and Stefano Spellini and Andrea Calimera and Alessandro Beghi and Gian Antonio Susto and Franco Fummi and Enrico Macii and Sara Vinco}, title = {{SMART-IC:} Smart Monitoring and Production Optimization for Zero-waste Semiconductor Manufacturing}, booktitle = {23rd {IEEE} Latin American Test Symposium, {LATS} 2022, Montevideo, Uruguay, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/LATS57337.2022.9937011}, doi = {10.1109/LATS57337.2022.9937011}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/AlaminCGSCBSFMV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/TosoniDFF22, author = {Francesco Tosoni and Nicola Dall'Ora and Enrico Fraccaroli and Franco Fummi}, title = {The Challenges of Coupling Digital-Twins with Multiple Classes of Faults}, booktitle = {23rd {IEEE} Latin American Test Symposium, {LATS} 2022, Montevideo, Uruguay, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/LATS57337.2022.9937026}, doi = {10.1109/LATS57337.2022.9937026}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/TosoniDFF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-11607, author = {Federico Cunico and Luigi Capogrosso and Francesco Setti and Damiano Carra and Franco Fummi and Marco Cristani}, title = {{I-SPLIT:} Deep Network Interpretability for Split Computing}, journal = {CoRR}, volume = {abs/2209.11607}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.11607}, doi = {10.48550/ARXIV.2209.11607}, eprinttype = {arXiv}, eprint = {2209.11607}, timestamp = {Wed, 28 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-11607.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-11770, author = {Luigi Capogrosso and Geri Skenderi and Federico Girella and Franco Fummi and Marco Cristani}, title = {Toward Smart Doors: {A} Position Paper}, journal = {CoRR}, volume = {abs/2209.11770}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.11770}, doi = {10.48550/ARXIV.2209.11770}, eprinttype = {arXiv}, eprint = {2209.11770}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-11770.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/FummiO21, author = {Franco Fummi and Ian O'Connor}, title = {Holding Conferences Online in Pandemic Times: The {DATE} Experience}, journal = {{IEEE} Des. Test}, volume = {38}, number = {4}, pages = {128--130}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2021.3078673}, doi = {10.1109/MDAT.2021.3078673}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/FummiO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/BombieriSMCCFLC21, author = {Nicola Bombieri and Silvia Scaffeo and Antonio Mastrandrea and Simone Caligola and Tommaso Carlucci and Franco Fummi and Carlo Laudanna and Gabriela Constantin and Rosalba Giugno}, title = {SystemC Implementation of Stochastic Petri Nets for Simulation and Parameterization of Biological Networks}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {20}, number = {4}, pages = {31:1--31:20}, year = {2021}, url = {https://doi.org/10.1145/3427091}, doi = {10.1145/3427091}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/BombieriSMCCFLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/SpelliniCPLF21, author = {Stefano Spellini and Roberta Chirico and Marco Panato and Michele Lora and Franco Fummi}, title = {Virtual Prototyping a Production Line Using Assume-Guarantee Contracts}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {17}, number = {9}, pages = {6294--6302}, year = {2021}, url = {https://doi.org/10.1109/TII.2020.3038679}, doi = {10.1109/TII.2020.3038679}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/SpelliniCPLF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ddecs/DallOraAFAF21, author = {Nicola Dall'Ora and Sadia Azam and Enrico Fraccaroli and Andr{\'{e}} Alberts and Franco Fummi}, editor = {Muhammad Shafique and Andreas Steininger and Luk{\'{a}}s Sekanina and Milos Krstic and Goran Stojanovic and Vojtech Mrazek}, title = {Predictive Fault Grouping based on Faulty {AC} Matrices}, booktitle = {24th International Symposium on Design and Diagnostics of Electronic Circuits {\&} Systems, {DDECS} 2021, Vienna, Austria, April 7-9, 2021}, pages = {11--16}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DDECS52668.2021.9417072}, doi = {10.1109/DDECS52668.2021.9417072}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ddecs/DallOraAFAF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/etfa/SpelliniGLF21, author = {Stefano Spellini and Sebastiano Gaiardelli and Michele Lora and Franco Fummi}, title = {Enabling Component Reuse in Model-based System Engineering of Cyber-Physical Production Systems}, booktitle = {26th {IEEE} International Conference on Emerging Technologies and Factory Automation, {ETFA} 2021, Vasteras, Sweden, September 7-10, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ETFA45728.2021.9613572}, doi = {10.1109/ETFA45728.2021.9613572}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/etfa/SpelliniGLF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/DallOraAFAF21, author = {Nicola Dall'Ora and Sadia Azam and Enrico Fraccaroli and Andr{\'{e}} Alberts and Franco Fummi}, title = {A Common Manipulation Framework for Transistor-Level Languages}, booktitle = {24th Forum on specification {\&} Design Languages, {FDL} 2021, Antibes, France, September 8-10, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/FDL53530.2021.9568379}, doi = {10.1109/FDL53530.2021.9568379}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fdl/DallOraAFAF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/GaiardelliSLF21, author = {Sebastiano Gaiardelli and Stefano Spellini and Michele Lora and Franco Fummi}, title = {Modeling in Industry 5.0: What Is There and What Is Missing: Special Session 1: Languages for Industry 5.0}, booktitle = {24th Forum on specification {\&} Design Languages, {FDL} 2021, Antibes, France, September 8-10, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/FDL53530.2021.9568371}, doi = {10.1109/FDL53530.2021.9568371}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fdl/GaiardelliSLF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/LumppPFB21, author = {Francesco Lumpp and Marco Panato and Franco Fummi and Nicola Bombieri}, title = {A Container-based Design Methodology for Robotic Applications on Kubernetes Edge-Cloud architectures}, booktitle = {24th Forum on specification {\&} Design Languages, {FDL} 2021, Antibes, France, September 8-10, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/FDL53530.2021.9568376}, doi = {10.1109/FDL53530.2021.9568376}, timestamp = {Fri, 29 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fdl/LumppPFB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/SkenderiBCAPFC21, author = {Geri Skenderi and Alessia Bozzini and Luigi Capogrosso and Enrico Carlo Agrillo and Giovanni Perbellini and Franco Fummi and Marco Cristani}, title = {{DOHMO:} Embedded Computer Vision in Co-Housing Scenarios}, booktitle = {24th Forum on specification {\&} Design Languages, {FDL} 2021, Antibes, France, September 8-10, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/FDL53530.2021.9568372}, doi = {10.1109/FDL53530.2021.9568372}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fdl/SkenderiBCAPFC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icit2/CentomoAPTF21, author = {Stefano Centomo and Andrea Avogaro and Marco Panato and Carlo Tadiello and Franco Fummi}, title = {A Design Methodology of Multi-level Digital Twins}, booktitle = {22nd {IEEE} International Conference on Industrial Technology, {ICIT} 2021, Valencia, Spain, March 10-12, 2021}, pages = {961--966}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICIT46573.2021.9453523}, doi = {10.1109/ICIT46573.2021.9453523}, timestamp = {Tue, 22 Jun 2021 10:52:54 +0200}, biburl = {https://dblp.org/rec/conf/icit2/CentomoAPTF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icphys/DallOraFVF21, author = {Nicola Dall'Ora and Enrico Fraccaroli and Sara Vinco and Franco Fummi}, title = {Multi-Discipline Fault Modeling with Verilog-AMS}, booktitle = {4th {IEEE} International Conference on Industrial Cyber-Physical Systems, {ICPS} 2021, Victoria, BC, Canada, May 10-12, 2021}, pages = {237--243}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICPS49255.2021.9468133}, doi = {10.1109/ICPS49255.2021.9468133}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icphys/DallOraFVF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/FraccaroliLF20, author = {Enrico Fraccaroli and Michele Lora and Franco Fummi}, title = {Automatic Generation of Analog/Mixed Signal Virtual Platforms for Smart Systems}, journal = {{IEEE} Trans. Computers}, volume = {69}, number = {9}, pages = {1263--1278}, year = {2020}, url = {https://doi.org/10.1109/TC.2020.2970699}, doi = {10.1109/TC.2020.2970699}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/FraccaroliLF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AldegheriBFGMP20, author = {Stefano Aldegheri and Nicola Bombieri and Franco Fummi and Simone Girardi and Riccardo Muradore and Nicola Piccinelli}, title = {Late Breaking Results: Enabling Containerized Computing and Orchestration of ROS-based Robotic {SW} Applications on Cloud-Server-Edge Architectures}, booktitle = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco, CA, USA, July 20-24, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DAC18072.2020.9218659}, doi = {10.1109/DAC18072.2020.9218659}, timestamp = {Wed, 14 Oct 2020 10:56:17 +0200}, biburl = {https://dblp.org/rec/conf/dac/AldegheriBFGMP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FraccaroliPQF20, author = {Enrico Fraccaroli and Alan Michael Padovani and Davide Quaglia and Franco Fummi}, title = {Network Synthesis for Industry 4.0}, booktitle = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, pages = {1692--1697}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/DATE48585.2020.9116407}, doi = {10.23919/DATE48585.2020.9116407}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FraccaroliPQF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SpelliniCPLF20, author = {Stefano Spellini and Roberta Chirico and Marco Panato and Michele Lora and Franco Fummi}, title = {Production Recipe Validation through Formalization and Digital Twin Generation}, booktitle = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, pages = {1698--1703}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/DATE48585.2020.9116343}, doi = {10.23919/DATE48585.2020.9116343}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SpelliniCPLF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/etfa/CentomoDF20, author = {Stefano Centomo and Nicola Dall'Ora and Franco Fummi}, title = {The Design of a Digital-Twin for Predictive Maintenance}, booktitle = {25th {IEEE} International Conference on Emerging Technologies and Factory Automation, {ETFA} 2020, Vienna, Austria, September 8-11, 2020}, pages = {1781--1788}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ETFA46521.2020.9212071}, doi = {10.1109/ETFA46521.2020.9212071}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/etfa/CentomoDF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indin/DallOraVF20, author = {Nicola Dall'Ora and Sara Vinco and Franco Fummi}, title = {Functionality and Fault Modeling of a {DC} Motor with Verilog-AMS}, booktitle = {18th {IEEE} International Conference on Industrial Informatics, {INDIN} 2020, Warwick, United Kingdom, July 20-23, 2020}, pages = {35--40}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/INDIN45582.2020.9442191}, doi = {10.1109/INDIN45582.2020.9442191}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/indin/DallOraVF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/TeichF19, author = {J{\"{u}}rgen Teich and Franco Fummi}, title = {Conference Reports: Recap of {DATE} 2019 in Florence, Italy}, journal = {{IEEE} Des. Test}, volume = {36}, number = {4}, pages = {59--61}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2915112}, doi = {10.1109/MDAT.2019.2915112}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/TeichF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LoraVF19, author = {Michele Lora and Sara Vinco and Franco Fummi}, title = {Translation, Abstraction and Integration for Effective Smart System Design}, journal = {{IEEE} Trans. Computers}, volume = {68}, number = {10}, pages = {1525--1538}, year = {2019}, url = {https://doi.org/10.1109/TC.2019.2909209}, doi = {10.1109/TC.2019.2909209}, timestamp = {Mon, 23 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/LoraVF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/SpelliniLFC19, author = {Stefano Spellini and Michele Lora and Franco Fummi and Sudipta Chattopadhyay}, title = {Compositional Design of Multi-Robot Systems Control Software on {ROS}}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {18}, number = {5s}, pages = {71:1--71:24}, year = {2019}, url = {https://doi.org/10.1145/3358197}, doi = {10.1145/3358197}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/SpelliniLFC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/VincoBPFMP19, author = {Sara Vinco and Nicola Bombieri and Daniele Jahier Pagliari and Franco Fummi and Enrico Macii and Massimo Poncino}, title = {A Cross-level Verification Methodology for Digital IPs Augmented with Embedded Timing Monitors}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {24}, number = {3}, pages = {27:1--27:23}, year = {2019}, url = {https://doi.org/10.1145/3308565}, doi = {10.1145/3308565}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/VincoBPFMP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cibb/BombieriMSCFLCG19, author = {Nicola Bombieri and Antonio Mastrandrea and Silvia Scaffeo and Simone Caligola and Franco Fummi and Carlo Laudanna and Gabriela Constantin and Rosalba Giugno}, editor = {Paolo Cazzaniga and Daniela Besozzi and Ivan Merelli and Luca Manzoni}, title = {On the Simulation and Automatic Parametrization of Metabolic Networks Through Electronic Design Automation}, booktitle = {Computational Intelligence Methods for Bioinformatics and Biostatistics - 16th International Meeting, {CIBB} 2019, Bergamo, Italy, September 4-6, 2019, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {12313}, pages = {323--334}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-63061-4\_28}, doi = {10.1007/978-3-030-63061-4\_28}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cibb/BombieriMSCFLCG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cibcb/CaligolaCFLCBG19, author = {Simone Caligola and Tommaso Carlucci and Franco Fummi and Carlo Laudanna and Gabriela Constantin and Nicola Bombieri and Rosalba Giugno}, editor = {Giacomo Baruzzo and Sebastian Daberdaku and Barbara Di Camillo and Simone Furini and Emanuele Domenico Giordano and Giuseppe Nicosia}, title = {Automatic Parameterization of the Purine Metabolism Pathway through Discrete Event-based Simulation}, booktitle = {{IEEE} Conference on Computational Intelligence in Bioinformatics and Computational Biology, {CIBCB} 2019, Siena, Italy, July 9-11, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CIBCB.2019.8791471}, doi = {10.1109/CIBCB.2019.8791471}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cibcb/CaligolaCFLCBG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/CaligolaCFLCBG19, author = {Simone Caligola and Tommaso Carlucci and Franco Fummi and Carlo Laudanna and Gabriela Constantin and Nicola Bombieri and Rosalba Giugno}, editor = {Tom J. Kazmierski and Reinhard von Hanxleden and Terrence S. T. Mak}, title = {Efficient Simulation and Parametrization of Stochastic Petri Nets in SystemC: {A} Case study from Systems Biology}, booktitle = {2019 Forum for Specification and Design Languages, {FDL} 2019, Southampton, United Kingdom, September 2-4, 2019}, pages = {1--7}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/FDL.2019.8876940}, doi = {10.1109/FDL.2019.8876940}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fdl/CaligolaCFLCBG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/SpelliniCLF19, author = {Stefano Spellini and Roberta Chirico and Michele Lora and Franco Fummi}, editor = {Tom J. Kazmierski and Reinhard von Hanxleden and Terrence S. T. Mak}, title = {Languages and Formalisms to Enable {EDA} Techniques in the Context of Industry 4.0}, booktitle = {2019 Forum for Specification and Design Languages, {FDL} 2019, Southampton, United Kingdom, September 2-4, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/FDL.2019.8876899}, doi = {10.1109/FDL.2019.8876899}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fdl/SpelliniCLF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indin/ChiricoSPLF19, author = {Roberta Chirico and Stefano Spellini and Marco Panato and Michele Lora and Franco Fummi}, title = {A Contract-based Methodology for Production Lines Validation}, booktitle = {17th {IEEE} International Conference on Industrial Informatics, {INDIN} 2019, Helsinki, Finland, July 22-25, 2019}, pages = {695--698}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/INDIN41052.2019.8972100}, doi = {10.1109/INDIN41052.2019.8972100}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/indin/ChiricoSPLF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwasi/DallOraCF19, author = {Nicola Dall'Ora and Stefano Centomo and Franco Fummi}, title = {Industrial-IoT Data Analysis Exploiting Electronic Design Automation Techniques}, booktitle = {{IEEE} 8th International Workshop on Advances in Sensors and Interfaces, {IWASI} 2019, Otranto, Italy, June 13-14, 2019}, pages = {103--109}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IWASI.2019.8791344}, doi = {10.1109/IWASI.2019.8791344}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwasi/DallOraCF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2019, editor = {J{\"{u}}rgen Teich and Franco Fummi}, title = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2019, Florence, Italy, March 25-29, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8704855/proceeding}, isbn = {978-3-9819263-2-3}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/FraccaroliSRQF18, author = {Enrico Fraccaroli and Francesco Stefanni and Romeo Rizzi and Davide Quaglia and Franco Fummi}, title = {Network Synthesis for Distributed Embedded Systems}, journal = {{IEEE} Trans. Computers}, volume = {67}, number = {9}, pages = {1315--1330}, year = {2018}, url = {https://doi.org/10.1109/TC.2018.2812797}, doi = {10.1109/TC.2018.2812797}, timestamp = {Thu, 13 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/FraccaroliSRQF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LoraVFQF18, author = {Michele Lora and Sara Vinco and Enrico Fraccaroli and Davide Quaglia and Franco Fummi}, title = {Analog Models Manipulation for Effective Integration in Smart System Virtual Platforms}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {37}, number = {2}, pages = {378--391}, year = {2018}, url = {https://doi.org/10.1109/TCAD.2017.2705129}, doi = {10.1109/TCAD.2017.2705129}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LoraVFQF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/BombieriBF18, author = {Nicola Bombieri and Federico Busato and Franco Fummi}, title = {Pro++: {A} Profiling Framework for Primitive-Based {GPU} Programming}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {6}, number = {3}, pages = {382--394}, year = {2018}, url = {https://doi.org/10.1109/TETC.2016.2546554}, doi = {10.1109/TETC.2016.2546554}, timestamp = {Fri, 15 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/BombieriBF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/SpelliniLCF18, author = {Stefano Spellini and Michele Lora and Sudipta Chattopadhyay and Franco Fummi}, editor = {Aviral Shrivastava and Sudeep Pasricha}, title = {Introducing assume-guarantee contracts for verifying robotic applications: work-in-progress}, booktitle = {Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2018, part of {ESWEEK} 2018, Torino, Italy, September 30 - October 5, 2018}, pages = {4}, publisher = {{IEEE} / {ACM}}, year = {2018}, url = {https://doi.org/10.1109/CODESISSS.2018.8525885}, doi = {10.1109/CODESISSS.2018.8525885}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/SpelliniLCF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LoraCQF18, author = {Michele Lora and Stefano Centomo and Davide Quaglia and Franco Fummi}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Automatic integration of cycle-accurate descriptions with continuous-time models for cyber-physical virtual platforms}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {676--681}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342095}, doi = {10.23919/DATE.2018.8342095}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LoraCQF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcis/FraccaroliQF18, author = {Enrico Fraccaroli and Davide Quaglia and Franco Fummi}, title = {Efficient Simulation of Faults in Networked Cyber-Physical Systems}, booktitle = {Conference on Design of Circuits and Integrated Systems, {DCIS} 2018, Lyon, France, November 14-16, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/DCIS.2018.8681483}, doi = {10.1109/DCIS.2018.8681483}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dcis/FraccaroliQF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/CentomoLF18, author = {Stefano Centomo and Michele Lora and Franco Fummi}, editor = {Hiren D. Patel and Tom J. Kazmierski and Sebastian Steinhorst}, title = {Transaction-level Functional Mockup Units for Cyber-Physical Virtual Platforms}, booktitle = {2018 Forum on Specification {\&} Design Languages, {FDL} 2018, Garching, Germany, September 10-12, 2018}, pages = {5--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FDL.2018.8524083}, doi = {10.1109/FDL.2018.8524083}, timestamp = {Tue, 29 Nov 2022 08:40:57 +0100}, biburl = {https://dblp.org/rec/conf/fdl/CentomoLF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/FraccaroliQF18, author = {Enrico Fraccaroli and Davide Quaglia and Franco Fummi}, editor = {Hiren D. Patel and Tom J. Kazmierski and Sebastian Steinhorst}, title = {Simulation-based Holistic Functional Safety Assessment for Networked Cyber-Physical Systems}, booktitle = {2018 Forum on Specification {\&} Design Languages, {FDL} 2018, Garching, Germany, September 10-12, 2018}, pages = {5--16}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FDL.2018.8524050}, doi = {10.1109/FDL.2018.8524050}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fdl/FraccaroliQF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AldegheriBDFGP18, author = {Stefano Aldegheri and Nicola Bombieri and Nicola Dall'Ora and Franco Fummi and Simone Girardi and Marco Panato}, title = {A Framework for the Design and Simulation of Embedded Vision Applications Based on OpenVX and {ROS}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8351514}, doi = {10.1109/ISCAS.2018.8351514}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AldegheriBDFGP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/CentomoPF18, author = {Stefano Centomo and Marco Panato and Franco Fummi}, title = {Cyber-Physical Systems Integration in a Production Line Simulator}, booktitle = {{IFIP/IEEE} International Conference on Very Large Scale Integration, VLSI-SoC 2018, Verona, Italy, October 8-10, 2018}, pages = {237--242}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSI-SoC.2018.8644836}, doi = {10.1109/VLSI-SOC.2018.8644836}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/CentomoPF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/VincoCFMP17, author = {Sara Vinco and Yukai Chen and Franco Fummi and Enrico Macii and Massimo Poncino}, title = {A Layered Methodology for the Simulation of Extra-Functional Properties in Smart Systems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {36}, number = {10}, pages = {1702--1715}, year = {2017}, url = {https://doi.org/10.1109/TCAD.2017.2650980}, doi = {10.1109/TCAD.2017.2650980}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/VincoCFMP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LoraFF17, author = {Michele Lora and Enrico Fraccaroli and Franco Fummi}, title = {Virtual prototyping of smart systems through automatic abstraction and mixed-signal scheduling}, booktitle = {22nd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2017, Chiba, Japan, January 16-19, 2017}, pages = {232--237}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASPDAC.2017.7858325}, doi = {10.1109/ASPDAC.2017.7858325}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LoraFF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BombieriBF17, author = {Nicola Bombieri and Federico Busato and Franco Fummi}, title = {Power-aware Performance Tuning of {GPU} Applications Through Microbenchmarking}, booktitle = {Proceedings of the 54th Annual Design Automation Conference, {DAC} 2017, Austin, TX, USA, June 18-22, 2017}, pages = {66:1--66:6}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3061639.3062304}, doi = {10.1145/3061639.3062304}, timestamp = {Tue, 06 Nov 2018 16:58:15 +0100}, biburl = {https://dblp.org/rec/conf/dac/BombieriBF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FraccaroliF17, author = {Enrico Fraccaroli and Franco Fummi}, editor = {David Atienza and Giorgio Di Natale}, title = {Analog fault testing through abstraction}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017}, pages = {270--273}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/DATE.2017.7926996}, doi = {10.23919/DATE.2017.7926996}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FraccaroliF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FraccaroliLF17, author = {Enrico Fraccaroli and Michele Lora and Franco Fummi}, editor = {David Atienza and Giorgio Di Natale}, title = {Automatic abstraction of multi-discipline analog models for efficient functional simulation}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017}, pages = {662--665}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/DATE.2017.7927072}, doi = {10.23919/DATE.2017.7927072}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FraccaroliLF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/FraccaroliPF17, author = {Enrico Fraccaroli and Luca Piccolboni and Franco Fummi}, title = {A homogeneous framework for {AMS} languages instrumentation, abstraction and simulation}, booktitle = {22nd {IEEE} European Test Symposium, {ETS} 2017, Limassol, Cyprus, May 22-26, 2017}, pages = {1--2}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ETS.2017.7968212}, doi = {10.1109/ETS.2017.7968212}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ets/FraccaroliPF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/CentomoLPSF17, author = {Stefano Centomo and Michele Lora and Antonio Portaluri and Francesco Stefanni and Franco Fummi}, editor = {Franco Fummi and Hiren D. Patel and Samarjit Chakraborty}, title = {Automatic generation of cycle-accurate Simulink blocks from hdl ips}, booktitle = {2017 Forum on Specification and Design Languages, {FDL} 2017, Verona, Italy, September 18-20, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FDL.2017.8303896}, doi = {10.1109/FDL.2017.8303896}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/fdl/CentomoLPSF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/CentomoLPSF17a, author = {Stefano Centomo and Michele Lora and Antonio Portaluri and Francesco Stefanni and Franco Fummi}, editor = {Daniel Gro{\ss}e and Sara Vinco and Hiren D. Patel}, title = {Automatic Integration of {HDL} IPs in Simulink Using {FMI} and S-Function Interfaces}, booktitle = {Languages, Design Methods, and Tools for Electronic System Design - Selected Contributions from {FDL} 2017 [Verona, Italy, September 18-20, 2017]}, series = {Lecture Notes in Electrical Engineering}, volume = {530}, pages = {1--23}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-030-02215-0\_1}, doi = {10.1007/978-3-030-02215-0\_1}, timestamp = {Tue, 29 Nov 2022 08:38:47 +0100}, biburl = {https://dblp.org/rec/conf/fdl/CentomoLPSF17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/FraccaroliSFZ17, author = {Enrico Fraccaroli and Francesco Stefanni and Franco Fummi and Mark Zwolinski}, editor = {Franco Fummi and Hiren D. Patel and Samarjit Chakraborty}, title = {Fault analysis in analog circuits through language manipulation and abstraction}, booktitle = {2017 Forum on Specification and Design Languages, {FDL} 2017, Verona, Italy, September 18-20, 2017}, pages = {1--7}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FDL.2017.8303890}, doi = {10.1109/FDL.2017.8303890}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fdl/FraccaroliSFZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/FraccaroliSFZ17a, author = {Enrico Fraccaroli and Francesco Stefanni and Franco Fummi and Mark Zwolinski}, editor = {Daniel Gro{\ss}e and Sara Vinco and Hiren D. Patel}, title = {Fault Analysis in Analog Circuits Through Language Manipulation and Abstraction}, booktitle = {Languages, Design Methods, and Tools for Electronic System Design - Selected Contributions from {FDL} 2017 [Verona, Italy, September 18-20, 2017]}, series = {Lecture Notes in Electrical Engineering}, volume = {530}, pages = {89--105}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-030-02215-0\_5}, doi = {10.1007/978-3-030-02215-0\_5}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fdl/FraccaroliSFZ17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwasi/Fummi17, author = {Franco Fummi}, title = {Cyber-physical virtual platforms: Techniques for sensors integration}, booktitle = {7th {IEEE} International Workshop on Advances in Sensors and Interfaces, {IWASI} 2017, Vieste, Italy, June 15-16, 2017}, pages = {50}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IWASI.2017.7974211}, doi = {10.1109/IWASI.2017.7974211}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/iwasi/Fummi17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/hwswco/PravadelliQVF17, author = {Graziano Pravadelli and Davide Quaglia and Sara Vinco and Franco Fummi}, editor = {Soonhoi Ha and J{\"{u}}rgen Teich}, title = {Semiformal Assertion-Based Verification of Hardware/Software Systems in a Model-Driven Design Framework}, booktitle = {Handbook of Hardware/Software Codesign}, pages = {683--720}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-94-017-7267-9\_23}, doi = {10.1007/978-94-017-7267-9\_23}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/reference/hwswco/PravadelliQVF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fdl/2017, editor = {Franco Fummi and Hiren D. Patel and Samarjit Chakraborty}, title = {2017 Forum on Specification and Design Languages, {FDL} 2017, Verona, Italy, September 18-20, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8295776/proceeding}, isbn = {978-1-5386-4733-2}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fdl/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/VincoGF16, author = {Sara Vinco and Valerio Guarnieri and Franco Fummi}, title = {Code Manipulation for Virtual Platform Integration}, journal = {{IEEE} Trans. Computers}, volume = {65}, number = {9}, pages = {2694--2708}, year = {2016}, url = {https://doi.org/10.1109/TC.2015.2500573}, doi = {10.1109/TC.2015.2500573}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/VincoGF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/EbeidFQ16, author = {Emad Ebeid and Franco Fummi and Davide Quaglia}, title = {Erratum to "Model-Driven Design of Network Aspects of Distributed Embedded Systems"}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {35}, number = {5}, pages = {872}, year = {2016}, url = {https://doi.org/10.1109/TCAD.2016.2537681}, doi = {10.1109/TCAD.2016.2537681}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/EbeidFQ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BombieriBF16, author = {Nicola Bombieri and Federico Busato and Franco Fummi}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {A fine-grained performance model for {GPU} architectures}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {1267--1272}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459505/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BombieriBF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FraccaroliLVQF16, author = {Enrico Fraccaroli and Michele Lora and Sara Vinco and Davide Quaglia and Franco Fummi}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {Integration of mixed-signal components into virtual platforms for holistic simulation of smart systems}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {1586--1591}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459566/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FraccaroliLVQF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hldvt/LoraVF16, author = {Michele Lora and Sara Vinco and Franco Fummi}, title = {A unifying flow to ease smart systems integration}, booktitle = {{IEEE} International High Level Design Validation and Test Workshop, {HLDVT} 2016, Santa Cruz, CA, USA, October 7-8, 2016}, pages = {113--120}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HLDVT.2016.7748264}, doi = {10.1109/HLDVT.2016.7748264}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hldvt/LoraVF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hldvt/DistefanoGFGBB16, author = {Rosario Distefano and Nickolas Goncharenko and Franco Fummi and Rosalba Giugno and Gary D. Bader and Nicola Bombieri}, title = {SyQUAL: a platform for qualitative modelling and simulation of biological systems}, booktitle = {{IEEE} International High Level Design Validation and Test Workshop, {HLDVT} 2016, Santa Cruz, CA, USA, October 7-8, 2016}, pages = {155--161}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HLDVT.2016.7748270}, doi = {10.1109/HLDVT.2016.7748270}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hldvt/DistefanoGFGBB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/CoatiDBFMLG16, author = {Daniele Coati and Rosario Distefano and Nicola Bombieri and Franco Fummi and Michela Mirenda and Carlo Laudanna and Rosalba Giugno}, title = {A SystemC-based platform for assertion-based verification and mutation analysis in systems biology}, booktitle = {17th Latin-American Test Symposium, {LATS} 2016, Foz do Iguacu, Brazil, April 6-8, 2016}, pages = {159--164}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/LATW.2016.7483363}, doi = {10.1109/LATW.2016.7483363}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/latw/CoatiDBFMLG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sies/BombieriBFS16, author = {Nicola Bombieri and Federico Busato and Franco Fummi and Michele Scala}, title = {{MIPP:} {A} microbenchmark suite for performance, power, and energy consumption characterization of {GPU} architectures}, booktitle = {11th {IEEE} Symposium on Industrial Embedded Systems, {SIES} 2016, Krakow, Poland, May 23-25, 2016}, pages = {159--164}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SIES.2016.7509423}, doi = {10.1109/SIES.2016.7509423}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/sies/BombieriBFS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/EbeidFQ15, author = {Emad Ebeid and Franco Fummi and Davide Quaglia}, title = {{HDL} code generation from {UML/MARTE} sequence diagrams for verification and synthesis}, journal = {Des. Autom. Embed. Syst.}, volume = {19}, number = {3}, pages = {277--299}, year = {2015}, url = {https://doi.org/10.1007/s10617-014-9158-1}, doi = {10.1007/S10617-014-9158-1}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/EbeidFQ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/BombieriFGPSGLA15, author = {Nicola Bombieri and Franco Fummi and Valerio Guarnieri and Graziano Pravadelli and Francesco Stefanni and Tara Ghasempouri and Michele Lora and Giovanni Auditore and Mirella Negro Marcigaglia}, title = {Reusing {RTL} Assertion Checkers for Verification of SystemC {TLM} Models}, journal = {J. Electron. Test.}, volume = {31}, number = {2}, pages = {167--180}, year = {2015}, url = {https://doi.org/10.1007/s10836-015-5514-8}, doi = {10.1007/S10836-015-5514-8}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/et/BombieriFGPSGLA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/LoraMQF15, author = {Michele Lora and Riccardo Muradore and Davide Quaglia and Franco Fummi}, title = {Simulation alternatives for the verification of networked cyber-physical systems}, journal = {Microprocess. Microsystems}, volume = {39}, number = {8}, pages = {843--853}, year = {2015}, url = {https://doi.org/10.1016/j.micpro.2015.09.001}, doi = {10.1016/J.MICPRO.2015.09.001}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/LoraMQF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HeMTHDFSGH15, author = {Diwei He and Stephen P. Morgan and Dimitrios Trachanis and Jan van Hese and Dimitris Drogoudis and Franco Fummi and Francesco Stefanni and Valerio Guarnieri and Barrie Hayes{-}Gill}, title = {A Single-Chip {CMOS} Pulse Oximeter with On-Chip Lock-In Detection}, journal = {Sensors}, volume = {15}, number = {7}, pages = {17076--17088}, year = {2015}, url = {https://doi.org/10.3390/s150717076}, doi = {10.3390/S150717076}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HeMTHDFSGH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/EbeidFQ15, author = {Emad Samuel Malki Ebeid and Franco Fummi and Davide Quaglia}, title = {Model-Driven Design of Network Aspects of Distributed Embedded Systems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {34}, number = {4}, pages = {603--614}, year = {2015}, url = {https://doi.org/10.1109/TCAD.2015.2394395}, doi = {10.1109/TCAD.2015.2394395}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/EbeidFQ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/BombieriFV15, author = {Nicola Bombieri and Franco Fummi and Sara Vinco}, title = {A Methodology to Recover {RTL} {IP} Functionality for Automatic Generation of {SW} Applications}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {20}, number = {3}, pages = {36:1--36:26}, year = {2015}, url = {https://doi.org/10.1145/2720019}, doi = {10.1145/2720019}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/BombieriFV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/EbeidMQF15, author = {Emad Samuel Malki Ebeid and Julio L. Medina and Davide Quaglia and Franco Fummi}, title = {Extensions to the {UML} profile for {MARTE} for distributed embedded systems}, booktitle = {2015 Forum on Specification and Design Languages, {FDL} 2015, Barcelona, Spain, September 14-16, 2015}, pages = {99--106}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FDL.2015.7306092}, doi = {10.1109/FDL.2015.7306092}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fdl/EbeidMQF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/DistefanoFLBG15, author = {Rosario Distefano and Franco Fummi and Carlo Laudanna and Nicola Bombieri and Rosalba Giugno}, editor = {Alex K. Jones and Hai (Helen) Li and Ayse K. Coskun and Martin Margala}, title = {A SystemC Platform for Signal Transduction Modelling and Simulation in Systems Biology}, booktitle = {Proceedings of the 25th edition on Great Lakes Symposium on VLSI, {GLVLSI} 2015, Pittsburgh, PA, USA, May 20 - 22, 2015}, pages = {233--236}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2742060.2742115}, doi = {10.1145/2742060.2742115}, timestamp = {Tue, 23 Jul 2019 15:03:09 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/DistefanoFLBG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mcsoc/BombieriBF15, author = {Nicola Bombieri and Federico Busato and Franco Fummi}, title = {An Enhanced Profiling Framework for the Analysis and Development of Parallel Primitives for GPUs}, booktitle = {{IEEE} 9th International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2015, Turin, Italy, September 23-25, 2015}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/MCSoC.2015.16}, doi = {10.1109/MCSOC.2015.16}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mcsoc/BombieriBF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BombieriFGP14, author = {Nicola Bombieri and Franco Fummi and Valerio Guarnieri and Graziano Pravadelli}, title = {Testbench Qualification of SystemC {TLM} Protocols through Mutation Analysis}, journal = {{IEEE} Trans. Computers}, volume = {63}, number = {5}, pages = {1248--1261}, year = {2014}, url = {https://doi.org/10.1109/TC.2012.301}, doi = {10.1109/TC.2012.301}, timestamp = {Thu, 08 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/BombieriFGP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cmsb/BombieriDSFLG14, author = {Nicola Bombieri and Rosario Distefano and Giovanni Scardoni and Franco Fummi and Carlo Laudanna and Rosalba Giugno}, editor = {Pedro Mendes and Joseph O. Dada and Kieran Smallbone}, title = {Dynamic Modeling and Simulation of Leukocyte Integrin Activation through an Electronic Design Automation Framework}, booktitle = {Computational Methods in Systems Biology - 12th International Conference, {CMSB} 2014, Manchester, UK, November 17-19, 2014, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8859}, pages = {143--154}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-12982-2\_11}, doi = {10.1007/978-3-319-12982-2\_11}, timestamp = {Sat, 09 Apr 2022 12:36:34 +0200}, biburl = {https://dblp.org/rec/conf/cmsb/BombieriDSFLG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DrechslerCFHMSG14, author = {Rolf Drechsler and Christophe Chevallaz and Franco Fummi and Alan J. Hu and Ronny Morad and Frank Schirrmeister and Alex Goryachev}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {Panel: Future SoC verification methodology: {UVM} evolution or revolution?}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--5}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.385}, doi = {10.7873/DATE.2014.385}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/DrechslerCFHMSG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FummiLSTVV14, author = {Franco Fummi and Michele Lora and Francesco Stefanni and Dimitrios Trachanis and Jahn Vanhese and Sara Vinco}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {Moving from co-simulation to simulation for effective smart systems design}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--4}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.299}, doi = {10.7873/DATE.2014.299}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FummiLSTVV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GuarnieriPSVBFMP14, author = {Valerio Guarnieri and Massimo Petricca and Alessandro Sassone and Sara Vinco and Nicola Bombieri and Franco Fummi and Enrico Macii and Massimo Poncino}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {A cross-level verification methodology for digital IPs augmented with embedded timing monitors}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--6}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.262}, doi = {10.7873/DATE.2014.262}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GuarnieriPSVBFMP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/LoraMRF14, author = {Michele Lora and Riccardo Muradore and Riccardo Reffato and Franco Fummi}, title = {Simulation Alternatives for Modeling Networked Cyber-Physical Systems}, booktitle = {17th Euromicro Conference on Digital System Design, {DSD} 2014, Verona, Italy, August 27-29, 2014}, pages = {262--269}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/DSD.2014.73}, doi = {10.1109/DSD.2014.73}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/LoraMRF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/GillonGGFP14, author = {Renaud Gillon and Giuliana Gangemi and Michelangelo Grosso and Franco Fummi and Massimo Poncino}, title = {Multi-domain simulation as a foundation for the engineering of smart systems: Challenges and the {SMAC} vision}, booktitle = {21st {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2014, Marseille, France, December 7-10, 2014}, pages = {858--861}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICECS.2014.7050121}, doi = {10.1109/ICECS.2014.7050121}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/GillonGGFP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/VincoSFMP14, author = {Sara Vinco and Alessandro Sassone and Franco Fummi and Enrico Macii and Massimo Poncino}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {An open-source framework for formal specification and simulation of electrical energy systems}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {287--290}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627657}, doi = {10.1145/2627369.2627657}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/VincoSFMP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/BombieriFGPSGLAM14, author = {Nicola Bombieri and Franco Fummi and Valerio Guarnieri and Graziano Pravadelli and Francesco Stefanni and Tara Ghasempouri and Michele Lora and Giovanni Auditore and Mirella Negro Marcigaglia}, title = {On the reuse of {RTL} assertions in SystemC {TLM} verification}, booktitle = {15th Latin American Test Workshop - {LATW} 2014, Fortaleza, Brazil, March 12-15, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/LATW.2014.6841903}, doi = {10.1109/LATW.2014.6841903}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/BombieriFGPSGLAM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtv/LoraMF14, author = {Michele Lora and Francesco Martinelli and Franco Fummi}, title = {Hardware Synthesis from Software-Oriented {UML} Descriptions}, booktitle = {15th International Microprocessor Test and Verification Workshop, {MTV} 2014, Austin, TX, USA, December 15-16, 2014}, pages = {33--38}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/MTV.2014.11}, doi = {10.1109/MTV.2014.11}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mtv/LoraMF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rapido/EbeidFQPV14, author = {Emad Samuel Malki Ebeid and Franco Fummi and Davide Quaglia and Hector Posadas and Eugenio Villar}, editor = {Daniel Gracia P{\'{e}}rez and Morteza Biglari{-}Abhari and Daniel Chillet and Gianluca Palermo}, title = {A framework for design space exploration and performance analysis of networked embedded systems}, booktitle = {Proceedings of the 2014 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, {RAPIDO} '14, 22 January, 2014, Vienna, Austria}, pages = {2:1--2:8}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2555486.2555488}, doi = {10.1145/2555486.2555488}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rapido/EbeidFQPV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/BombieriEFL13, author = {Nicola Bombieri and Emad Samuel Malki Ebeid and Franco Fummi and Michele Lora}, title = {On the Reuse of Heterogeneous IPs into SysML Models for Integration Validation}, journal = {J. Electron. Test.}, volume = {29}, number = {5}, pages = {647--667}, year = {2013}, url = {https://doi.org/10.1007/s10836-013-5409-5}, doi = {10.1007/S10836-013-5409-5}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/BombieriEFL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/GuglielmoGFFFMP13, author = {Giuseppe Di Guglielmo and Luigi Di Guglielmo and Andreas Foltinek and Masahiro Fujita and Franco Fummi and Cristina Marconcini and Graziano Pravadelli}, title = {On the integration of model-driven design and dynamic assertion-based verification for embedded software}, journal = {J. Syst. Softw.}, volume = {86}, number = {8}, pages = {2013--2033}, year = {2013}, url = {https://doi.org/10.1016/j.jss.2012.08.061}, doi = {10.1016/J.JSS.2012.08.061}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jss/GuglielmoGFFFMP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/GuglielmoFPSV13, author = {Luigi Di Guglielmo and Franco Fummi and Graziano Pravadelli and Francesco Stefanni and Sara Vinco}, title = {{UNIVERCM:} The UNIversal VERsatile Computational Model for Heterogeneous System Integration}, journal = {{IEEE} Trans. Computers}, volume = {62}, number = {2}, pages = {225--241}, year = {2013}, url = {https://doi.org/10.1109/TC.2012.156}, doi = {10.1109/TC.2012.156}, timestamp = {Thu, 08 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/GuglielmoFPSV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/AcquavivaBFV13, author = {Andrea Acquaviva and Nicola Bombieri and Franco Fummi and Sara Vinco}, title = {Semi-Automatic Generation of Device Drivers for Rapid Embedded Platform Development}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {32}, number = {9}, pages = {1293--1306}, year = {2013}, url = {https://doi.org/10.1109/TCAD.2013.2257924}, doi = {10.1109/TCAD.2013.2257924}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/AcquavivaBFV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/BombieriFV13, author = {Nicola Bombieri and Franco Fummi and Sara Vinco}, title = {On the automatic generation of GPU-oriented software applications from {RTL} IPs}, booktitle = {Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2013, Montreal, QC, Canada, September 29 - October 4, 2013}, pages = {12:1--12:10}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CODES-ISSS.2013.6658999}, doi = {10.1109/CODES-ISSS.2013.6658999}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/codes/BombieriFV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BombieriLFC13, author = {Nicola Bombieri and Hung{-}Yi Liu and Franco Fummi and Luca P. Carloni}, title = {A method to abstract {RTL} {IP} blocks into {C++} code and enable high-level synthesis}, booktitle = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin, TX, USA, May 29 - June 07, 2013}, pages = {156:1--156:9}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2463209.2488927}, doi = {10.1145/2463209.2488927}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/BombieriLFC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BertaccoCBFVKP13, author = {Valeria Bertacco and Debapriya Chatterjee and Nicola Bombieri and Franco Fummi and Sara Vinco and Anirudh M. Kaushik and Hiren D. Patel}, editor = {Enrico Macii}, title = {On the use of GP-GPUs for accelerating compute-intensive {EDA} applications}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1357--1366}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.279}, doi = {10.7873/DATE.2013.279}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/BertaccoCBFVKP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/EbeidFQ13, author = {Emad Samuel Malki Ebeid and Franco Fummi and Davide Quaglia}, title = {UML-Based Modeling and Simulation of Environmental Effects in Networked Embedded Systems}, booktitle = {2013 Euromicro Conference on Digital System Design, {DSD} 2013, Los Alamitos, CA, USA, September 4-6, 2013}, pages = {787--794}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/DSD.2013.90}, doi = {10.1109/DSD.2013.90}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/EbeidFQ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/GuglielmoFFP13, author = {Giuseppe Di Guglielmo and Davide Ferraretto and Franco Fummi and Graziano Pravadelli}, title = {Efficient fault simulation through dynamic binary translation for dependability analysis of embedded software}, booktitle = {18th {IEEE} European Test Symposium, {ETS} 2013, Avignon, France, May 27-30, 2013}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ETS.2013.6569351}, doi = {10.1109/ETS.2013.6569351}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ets/GuglielmoFFP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ewdts/BombieriFFLV13, author = {Nicola Bombieri and Diego Forrini and Franco Fummi and Matteo Laurenzi and Sara Vinco}, title = {{RTL} {IP} abstraction into optimized embedded software}, booktitle = {East-West Design {\&} Test Symposium, {EWDTS} 2013, Rostov-on-Don, Russia, September 27-30, 2013}, pages = {1--5}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/EWDTS.2013.6673144}, doi = {10.1109/EWDTS.2013.6673144}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ewdts/BombieriFFLV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/BotturiEFQ13, author = {G. Botturi and Emad Samuel Malki Ebeid and Franco Fummi and Davide Quaglia}, title = {Model-driven design for the development of multi-platform smartphone applications}, booktitle = {Proceedings of the 2013 Forum on specification and Design Languages, {FDL} 2013, Paris, France, September 24-26, 2013}, pages = {1--8}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/document/6646646/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fdl/BotturiEFQ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/FummiLSV13, author = {Franco Fummi and Michele Lora and Francesco Stefanni and Sara Vinco}, title = {Code generation alternatives to reduce heterogeneous embedded systems to homogeneity}, booktitle = {Proceedings of the 2013 Forum on specification and Design Languages, {FDL} 2013, Paris, France, September 24-26, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/document/6646637/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fdl/FummiLSV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtv/EbeidFQ13, author = {Emad Samuel Malki Ebeid and Franco Fummi and Davide Quaglia}, title = {Communication Alternatives Exploration in Model-Driven Design of Networked Embedded Systems}, booktitle = {14th International Workshop on Microprocessor Test and Verification, {MTV} 2013, Austin, TX, USA, December 11-13, 2013}, pages = {45--51}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/MTV.2013.22}, doi = {10.1109/MTV.2013.22}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mtv/EbeidFQ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtv/EbeidFQS13, author = {Emad Samuel Malki Ebeid and Franco Fummi and Davide Quaglia and Francesco Stefanni}, title = {Automatic Network Protocol Synthesis from {UML} Sequence Diagrams}, booktitle = {14th International Workshop on Microprocessor Test and Verification, {MTV} 2013, Austin, TX, USA, December 11-13, 2013}, pages = {68--73}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/MTV.2013.21}, doi = {10.1109/MTV.2013.21}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mtv/EbeidFQS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uksim/EbeidFQ13, author = {Emad Samuel Malki Ebeid and Franco Fummi and Davide Quaglia}, editor = {David Al{-}Dabass and Alessandra Orsoni and Jasmy Yunus and Richard J. Cant and Zuwairie Ibrahim}, title = {A Toolchain for UML-based Modeling and Simulation of Networked Embedded Systems}, booktitle = {15th International Conference on Computer Modelling and Simulation, UKSim 2013, Cambridge, United Kingdom, April 10-12, 2013}, pages = {374--379}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/UKSim.2013.141}, doi = {10.1109/UKSIM.2013.141}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uksim/EbeidFQ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/BombieriFGSV12, author = {Nicola Bombieri and Franco Fummi and Valerio Guarnieri and Francesco Stefanni and Sara Vinco}, title = {HDTLib: an efficient implementation of SystemC data types for fast simulation at different abstraction levels}, journal = {Des. Autom. Embed. Syst.}, volume = {16}, number = {2}, pages = {115--135}, year = {2012}, url = {https://doi.org/10.1007/s10617-012-9092-z}, doi = {10.1007/S10617-012-9092-Z}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/BombieriFGSV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/GuarnieriGBPFHRJU12, author = {Valerio Guarnieri and Giuseppe Di Guglielmo and Nicola Bombieri and Graziano Pravadelli and Franco Fummi and Hanno Hantson and Jaan Raik and Maksim Jenihhin and Raimund Ubar}, title = {On the Reuse of {TLM} Mutation Analysis at {RTL}}, journal = {J. Electron. Test.}, volume = {28}, number = {4}, pages = {435--448}, year = {2012}, url = {https://doi.org/10.1007/s10836-012-5303-6}, doi = {10.1007/S10836-012-5303-6}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/et/GuarnieriGBPFHRJU12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/IzosimovGLPFPF12, author = {Viacheslav Izosimov and Giuseppe Di Guglielmo and Michele Lora and Graziano Pravadelli and Franco Fummi and Zebo Peng and Masahiro Fujita}, title = {Time-Constraint-Aware Optimization of Assertions in Embedded Software}, journal = {J. Electron. Test.}, volume = {28}, number = {4}, pages = {469--486}, year = {2012}, url = {https://doi.org/10.1007/s10836-012-5316-1}, doi = {10.1007/S10836-012-5316-1}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/IzosimovGLPFPF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/BombieriFG12, author = {Nicola Bombieri and Franco Fummi and Valerio Guarnieri}, title = {{FAST:} An {RTL} Fault Simulation Framework based on RTL-to-TLM Abstraction}, journal = {J. Electron. Test.}, volume = {28}, number = {4}, pages = {495--510}, year = {2012}, url = {https://doi.org/10.1007/s10836-012-5318-z}, doi = {10.1007/S10836-012-5318-Z}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/BombieriFG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GuarnieriFC12, author = {Valerio Guarnieri and Franco Fummi and Krishnendu Chakrabarty}, title = {Reduced-Complexity Transition-Fault Test Generation for Non-scan Circuits through High-Level Mutant Injection}, booktitle = {21st {IEEE} Asian Test Symposium, {ATS} 2012, Niigata, Japan, November 19-22, 2012}, pages = {302--307}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ATS.2012.47}, doi = {10.1109/ATS.2012.47}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GuarnieriFC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/BonatoGFFP12, author = {Marco Bonato and Giuseppe Di Guglielmo and Masahiro Fujita and Franco Fummi and Graziano Pravadelli}, editor = {Ahmed Jerraya and Luca P. Carloni and Naehyuck Chang and Franco Fummi}, title = {Dynamic property mining for embedded software}, booktitle = {Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2012, part of ESWeek '12 Eighth Embedded Systems Week, Tampere, Finland, October 7-12, 2012}, pages = {187--196}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2380445.2380479}, doi = {10.1145/2380445.2380479}, timestamp = {Mon, 26 Nov 2018 12:14:45 +0100}, biburl = {https://dblp.org/rec/conf/codes/BonatoGFFP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/VincoCBF12, author = {Sara Vinco and Debapriya Chatterjee and Valeria Bertacco and Franco Fummi}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {{SAGA:} SystemC acceleration on {GPU} architectures}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {115--120}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228382}, doi = {10.1145/2228360.2228382}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/VincoCBF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GuglielmoGFP12, author = {Giuseppe Di Guglielmo and Luigi Di Guglielmo and Franco Fummi and Graziano Pravadelli}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Enabling dynamic assertion-based verification of embedded software through model-driven design}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {212--217}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176430}, doi = {10.1109/DATE.2012.6176430}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GuglielmoGFP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BeckerDFMPV12, author = {Markus Becker and Gilles B. Defo and Franco Fummi and Wolfgang M{\"{u}}ller and Graziano Pravadelli and Sara Vinco}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{MOUSSE:} Scaling modelling and verification to complex Heterogeneous Embedded Systems evolution}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {296--299}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176482}, doi = {10.1109/DATE.2012.6176482}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BeckerDFMPV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BombieriFG12, author = {Nicola Bombieri and Franco Fummi and Valerio Guarnieri}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{FAST-GP:} An {RTL} functional verification framework based on fault simulation on GP-GPUs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {562--565}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176532}, doi = {10.1109/DATE.2012.6176532}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BombieriFG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/EbeidFQS12, author = {Emad Samuel Malki Ebeid and Franco Fummi and Davide Quaglia and Francesco Stefanni}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Refinement of {UML/MARTE} models for the design of networked embedded systems}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1072--1077}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176654}, doi = {10.1109/DATE.2012.6176654}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/EbeidFQS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ddecs/EbeidQF12, author = {Emad Samuel Malki Ebeid and Davide Quaglia and Franco Fummi}, editor = {Jaan Raik and Viera Stopjakov{\'{a}} and Heinrich Theodor Vierhaus and Witold A. Pleskacz and Raimund Ubar and Helena Kruus and Maksim Jenihhin}, title = {Generation of SystemC/TLM code from {UML/MARTE} sequence diagrams for verification}, booktitle = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20, 2012}, pages = {187--190}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DDECS.2012.6219051}, doi = {10.1109/DDECS.2012.6219051}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ddecs/EbeidQF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ddecs/GuglielmoGFP12, author = {Giuseppe Di Guglielmo and Luigi Di Guglielmo and Franco Fummi and Graziano Pravadelli}, editor = {Jaan Raik and Viera Stopjakov{\'{a}} and Heinrich Theodor Vierhaus and Witold A. Pleskacz and Raimund Ubar and Helena Kruus and Maksim Jenihhin}, title = {On the use of assertions for embedded-software dynamic verification}, booktitle = {{IEEE} 15th International Symposium on Design and Diagnostics of Electronic Circuits {\&} Systems, {DDECS} 2012, Tallinn, Estonia, April 18-20, 2012}, pages = {330--335}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DDECS.2012.6219083}, doi = {10.1109/DDECS.2012.6219083}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ddecs/GuglielmoGFP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/EbeidQF12, author = {Emad Samuel Malki Ebeid and Davide Quaglia and Franco Fummi}, title = {Generation of {VHDL} Code from {UML/MARTE} Sequence Diagrams for Verification and Synthesis}, booktitle = {15th Euromicro Conference on Digital System Design, {DSD} 2012, Cesme, Izmir, Turkey, September 5-8, 2012}, pages = {708--714}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/DSD.2012.66}, doi = {10.1109/DSD.2012.66}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/EbeidQF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/RepinskiHJRUGPF12, author = {Urmas Repinski and Hanno Hantson and Maksim Jenihhin and Jaan Raik and Raimund Ubar and Giuseppe Di Guglielmo and Graziano Pravadelli and Franco Fummi}, title = {Combining dynamic slicing and mutation operators for {ESL} correction}, booktitle = {17th {IEEE} European Test Symposium, {ETS} 2012, Annecy, France, May 28 - June 1 2012}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ETS.2012.6233020}, doi = {10.1109/ETS.2012.6233020}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ets/RepinskiHJRUGPF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/AcquavivaBFV12, author = {Andrea Acquaviva and Nicola Bombieri and Franco Fummi and Sara Vinco}, editor = {Erik Brunvard and Ken Stevens and Joseph R. Cavallaro and Tong Zhang}, title = {On the automatic synthesis of parallel {SW} from {RTL} models of hardware IPs}, booktitle = {Great Lakes Symposium on {VLSI} 2012, GLSVLSI'12, Salt Lake City, UT, USA, May 3-4, 2012}, pages = {71--74}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2206781.2206800}, doi = {10.1145/2206781.2206800}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/AcquavivaBFV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hldvt/BragaFPV12, author = {Diego Braga and Franco Fummi and Graziano Pravadelli and Sara Vinco}, title = {The strange pair: {IP-XACT} and univerCM to integrate heterogeneous embedded systems}, booktitle = {2012 {IEEE} International High Level Design Validation and Test Workshop, {HLDVT} 2012, Huntington Beach, CA, USA, November 9-10, 2012}, pages = {76--83}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/HLDVT.2012.6418246}, doi = {10.1109/HLDVT.2012.6418246}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hldvt/BragaFPV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hldvt/BronuzziGFP12, author = {Simone Bronuzzi and Giuseppe Di Guglielmo and Franco Fummi and Graziano Pravadelli}, title = {Accurate profiling of oracles for self-checking time-constrained embedded software}, booktitle = {2012 {IEEE} International High Level Design Validation and Test Workshop, {HLDVT} 2012, Huntington Beach, CA, USA, November 9-10, 2012}, pages = {96--99}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/HLDVT.2012.6418249}, doi = {10.1109/HLDVT.2012.6418249}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hldvt/BronuzziGFP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hldvt/BombieriFGA12, author = {Nicola Bombieri and Franco Fummi and Valerio Guarnieri and Andrea Acquaviva}, title = {Energy aware {TLM} platform simulation via {RTL} abstraction}, booktitle = {2012 {IEEE} International High Level Design Validation and Test Workshop, {HLDVT} 2012, Huntington Beach, CA, USA, November 9-10, 2012}, pages = {156--163}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/HLDVT.2012.6418258}, doi = {10.1109/HLDVT.2012.6418258}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hldvt/BombieriFGA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtv/BombieriEFL12, author = {Nicola Bombieri and Emad Samuel Malki Ebeid and Franco Fummi and Michele Lora}, title = {On the Reuse of {RTL} IPs for SysML Model Generation}, booktitle = {13th International Workshop on Microprocessor Test and Verification, {MTV} 2012, Austin, TX, USA, December 10-13, 2012}, pages = {54--59}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/MTV.2012.10}, doi = {10.1109/MTV.2012.10}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mtv/BombieriEFL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtv/BombieriFGPV12, author = {Nicola Bombieri and Franco Fummi and Valerio Guarnieri and Graziano Pravadelli and Sara Vinco}, title = {Redesign and Verification of {RTL} IPs through RTL-to-TLM Abstraction and {TLM} Synthesis}, booktitle = {13th International Workshop on Microprocessor Test and Verification, {MTV} 2012, Austin, TX, USA, December 10-13, 2012}, pages = {76--81}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/MTV.2012.21}, doi = {10.1109/MTV.2012.21}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mtv/BombieriFGPV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sies/GuglielmoFPSV12, author = {Luigi Di Guglielmo and Franco Fummi and Graziano Pravadelli and Francesco Stefanni and Sara Vinco}, title = {A formal support for homogeneous simulation of heterogeneous embedded systems}, booktitle = {7th {IEEE} International Symposium on Industrial Embedded Systems, {SIES} 2012, Karlsruhe, Germany, June 20-22, 2012}, pages = {211--219}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SIES.2012.6356587}, doi = {10.1109/SIES.2012.6356587}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/sies/GuglielmoFPSV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/codes/2012, editor = {Ahmed Jerraya and Luca P. Carloni and Naehyuck Chang and Franco Fummi}, title = {Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2012, part of ESWeek '12 Eighth Embedded Systems Week, Tampere, Finland, October 7-12, 2012}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2380445}, doi = {10.1145/2380445}, isbn = {978-1-4503-1426-8}, timestamp = {Mon, 26 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codes/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/GuglielmoGFP11, author = {Giuseppe Di Guglielmo and Luigi Di Guglielmo and Franco Fummi and Graziano Pravadelli}, title = {Efficient Generation of Stimuli for Functional Verification by Backjumping Across Extended FSMs}, journal = {J. Electron. Test.}, volume = {27}, number = {2}, pages = {137--162}, year = {2011}, url = {https://doi.org/10.1007/s10836-011-5209-8}, doi = {10.1007/S10836-011-5209-8}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/GuglielmoGFP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BombieriFP11, author = {Nicola Bombieri and Franco Fummi and Graziano Pravadelli}, title = {Automatic Abstraction of {RTL} IPs into Equivalent {TLM} Descriptions}, journal = {{IEEE} Trans. Computers}, volume = {60}, number = {12}, pages = {1730--1743}, year = {2011}, url = {https://doi.org/10.1109/TC.2010.187}, doi = {10.1109/TC.2010.187}, timestamp = {Thu, 08 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/BombieriFP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/BombieriFVQ11, author = {Nicola Bombieri and Franco Fummi and Sara Vinco and Davide Quaglia}, title = {Automatic Interface Generation for Component Reuse in {HW-SW} Partitioning}, booktitle = {14th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, {DSD} 2011, August 31 - September 2, 2011, Oulu, Finland}, pages = {793--796}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/DSD.2011.105}, doi = {10.1109/DSD.2011.105}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/BombieriFVQ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/BombieriFG11, author = {Nicola Bombieri and Franco Fummi and Valerio Guarnieri}, title = {Accelerating {RTL} Fault Simulation through RTL-to-TLM Abstraction}, booktitle = {16th European Test Symposium, {ETS} 2011, Trondheim, Norway, May 23-27, 2011}, pages = {117--122}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ETS.2011.58}, doi = {10.1109/ETS.2011.58}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ets/BombieriFG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/IzosimovLPFPGF11, author = {Viacheslav Izosimov and Michele Lora and Graziano Pravadelli and Franco Fummi and Zebo Peng and Giuseppe Di Guglielmo and Masahiro Fujita}, title = {Optimization of Assertion Placement in Time-Constrained Embedded Systems}, booktitle = {16th European Test Symposium, {ETS} 2011, Trondheim, Norway, May 23-27, 2011}, pages = {171--176}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ETS.2011.35}, doi = {10.1109/ETS.2011.35}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ets/IzosimovLPFPGF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/BombieriFGSV11, author = {Nicola Bombieri and Franco Fummi and Valerio Guarnieri and Francesco Stefanni and Sara Vinco}, title = {Efficient implementation and abstraction of systemc data types for fast simulation}, booktitle = {2011 Forum on Specification {\&} Design Languages, {FDL} 2011, Oldenburg, Germany, September 13-15, 2011}, pages = {1--7}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/document/6069479/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fdl/BombieriFGSV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hldvt/GuglielmoFPSV11, author = {Luigi Di Guglielmo and Franco Fummi and Graziano Pravadelli and Francesco Stefanni and Sara Vinco}, editor = {Zeljko Zilic and Sandeep K. Shukla}, title = {{UNIVERCM:} The UNIversal VERsatile computational model for heterogeneous embedded system design}, booktitle = {2011 {IEEE} International High Level Design Validation and Test Workshop, {HLDVT} 2011, Napa Valley, CA, USA, November 9-11, 2011}, pages = {33--40}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/HLDVT.2011.6114163}, doi = {10.1109/HLDVT.2011.6114163}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hldvt/GuglielmoFPSV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hldvt/GuglielmoGFP11, author = {Giuseppe Di Guglielmo and Luigi Di Guglielmo and Franco Fummi and Graziano Pravadelli}, editor = {Zeljko Zilic and Sandeep K. Shukla}, title = {Interactive presentation abstract: Assertion-based verification in embedded-software design}, booktitle = {2011 {IEEE} International High Level Design Validation and Test Workshop, {HLDVT} 2011, Napa Valley, CA, USA, November 9-11, 2011}, pages = {80}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/HLDVT.2011.6114169}, doi = {10.1109/HLDVT.2011.6114169}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hldvt/GuglielmoGFP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hldvt/GuglielmoFP11, author = {Luigi Di Guglielmo and Franco Fummi and Graziano Pravadelli}, editor = {Zeljko Zilic and Sandeep K. Shukla}, title = {Interactive presentation abstract: Reusing of properties after discretization of hybrid automata}, booktitle = {2011 {IEEE} International High Level Design Validation and Test Workshop, {HLDVT} 2011, Napa Valley, CA, USA, November 9-11, 2011}, pages = {81}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/HLDVT.2011.6114170}, doi = {10.1109/HLDVT.2011.6114170}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hldvt/GuglielmoFP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/GuglielmoFGFPMF11, author = {Giuseppe Di Guglielmo and Masahiro Fujita and Luigi Di Guglielmo and Franco Fummi and Graziano Pravadelli and Cristina Marconcini and Andreas Foltinek}, editor = {Antonia Bertolino and Howard Foster and J. Jenny Li}, title = {Model-driven design and validation of embedded software}, booktitle = {Proceedings of the 6th International Workshop on Automation of Software Test, {AST} 2011, Waikiki, Honolulu, HI, USA, May 23-24, 2011}, pages = {98--104}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1982595.1982616}, doi = {10.1145/1982595.1982616}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icse/GuglielmoFGFPMF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FummiQS11, author = {Franco Fummi and Davide Quaglia and Francesco Stefanni}, title = {Communication-aware design flow for dependable networked embedded systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2011), May 15-19 2011, Rio de Janeiro, Brazil}, pages = {2861--2864}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISCAS.2011.5938202}, doi = {10.1109/ISCAS.2011.5938202}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FummiQS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/GuarnieriBPFHRJ11, author = {Valerio Guarnieri and Nicola Bombieri and Graziano Pravadelli and Franco Fummi and Hanno Hantson and Jaan Raik and Maksim Jenihhin and Raimund Ubar}, title = {Mutation analysis for SystemC designs at {TLM}}, booktitle = {12th Latin American Test Workshop, {LATW} 2011, Beach of Porto de Galinhas, Brazil, March 27-30, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/LATW.2011.5985925}, doi = {10.1109/LATW.2011.5985925}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/GuarnieriBPFHRJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/GuglielmoFFPS11, author = {Giuseppe Di Guglielmo and Masahiro Fujita and Franco Fummi and Graziano Pravadelli and Stefano Soffia}, editor = {Satnam Singh and Barbara Jobstmann and Michael Kishinevsky and Jens Brandt}, title = {EFSM-based model-driven approach to concolic testing of system-level design}, booktitle = {9th {IEEE/ACM} International Conference on Formal Methods and Models for Codesign, {MEMOCODE} 2011, Cambridge, UK, 11-13 July, 2011}, pages = {201--209}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/MEMCOD.2011.5970527}, doi = {10.1109/MEMCOD.2011.5970527}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/memocode/GuglielmoFFPS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtv/GuglielmoFP11, author = {Luigi Di Guglielmo and Franco Fummi and Graziano Pravadelli}, editor = {Magdy S. Abadir and Jay Bhadra and Li{-}C. Wang}, title = {Reusing of Properties after Discretization of Hybrid Automata}, booktitle = {12th International Workshop on Microprocessor Test and Verification, {MTV} 2011, Austin, TX, USA, December 5-7, 2011}, pages = {10--15}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/MTV.2011.11}, doi = {10.1109/MTV.2011.11}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mtv/GuglielmoFP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/FummiQS11, author = {Franco Fummi and Davide Quaglia and Francesco Stefanni}, title = {Communication-aware middleware-based design-space exploration for Networked Embedded Systems}, booktitle = {{IEEE/IFIP} 19th International Conference on {VLSI} and System-on-Chip, VLSI-SoC 2011, Kowloon, Hong Kong, China, October 3-5, 2011}, pages = {168--171}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/VLSISoC.2011.6081668}, doi = {10.1109/VLSISOC.2011.6081668}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/FummiQS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejes/BombieriGFFPSV10, author = {Nicola Bombieri and Giuseppe Di Guglielmo and Michele Ferrari and Franco Fummi and Graziano Pravadelli and Francesco Stefanni and Alessandro Venturelli}, title = {HIFSuite: Tools for {HDL} Code Conversion and Manipulation}, journal = {{EURASIP} J. Embed. Syst.}, volume = {2010}, year = {2010}, url = {https://doi.org/10.1155/2010/436328}, doi = {10.1155/2010/436328}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejes/BombieriGFFPSV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/BombieriFQ10, author = {Nicola Bombieri and Franco Fummi and Davide Quaglia}, title = {System/network design-space exploration based on {TLM} for networked embedded systems}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {9}, number = {4}, pages = {37:1--37:32}, year = {2010}, url = {https://doi.org/10.1145/1721695.1721703}, doi = {10.1145/1721695.1721703}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/BombieriFQ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BombieriFP10, author = {Nicola Bombieri and Franco Fummi and Graziano Pravadelli}, editor = {Sachin S. Sapatnekar}, title = {Abstraction of {RTL} IPs into embedded software}, booktitle = {Proceedings of the 47th Design Automation Conference, {DAC} 2010, Anaheim, California, USA, July 13-18, 2010}, pages = {24--29}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1837274.1837283}, doi = {10.1145/1837274.1837283}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BombieriFP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GuglielmoFP10, author = {Luigi Di Guglielmo and Franco Fummi and Graziano Pravadelli}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {Vacuity analysis for property qualification by mutation of checkers}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {478--483}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5457158}, doi = {10.1109/DATE.2010.5457158}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/GuglielmoFP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BeckerGF0PX10, author = {Markus Becker and Giuseppe Di Guglielmo and Franco Fummi and Wolfgang M{\"{u}}ller and Graziano Pravadelli and Tao Xie}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {RTOS-aware refinement for TLM2.0-based {HW/SW} designs}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {1053--1058}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5456965}, doi = {10.1109/DATE.2010.5456965}, timestamp = {Thu, 30 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BeckerGF0PX10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/FummiPQT10, author = {Franco Fummi and Giovanni Perbellini and Davide Quaglia and R. Trenti}, editor = {Sebasti{\'{a}}n L{\'{o}}pez}, title = {Exploration of Network Alternatives for Middleware-centric Embedded System Design}, booktitle = {13th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, {DSD} 2010, 1-3 September 2010, Lille, France}, pages = {291--297}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DSD.2010.83}, doi = {10.1109/DSD.2010.83}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/FummiPQT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/FummiQSL10, author = {Franco Fummi and Davide Quaglia and Francesco Stefanni and Giovanni Lovato}, editor = {Adam Morawiec and Jinnie Hinderscheit}, title = {Modeling of Communication Infrastructure for Design-Space Exploration}, booktitle = {Proceedings of the 2010 Forum on specification {\&} Design Languages, {FDL} 2010, September 14-16, 2010, Southampton, {UK}}, pages = {92--97}, publisher = {ECSI, Electronic Chips {\&} Systems design Initiative}, year = {2010}, timestamp = {Fri, 25 Feb 2011 17:44:56 +0100}, biburl = {https://dblp.org/rec/conf/fdl/FummiQSL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hldvt/BombieriGGFFPSV10, author = {Nicola Bombieri and Giuseppe Di Guglielmo and Luigi Di Guglielmo and Michele Ferrari and Franco Fummi and Graziano Pravadelli and Francesco Stefanni and Alessandro Venturelli}, title = {HIFSuite: Tools for {HDL} code conversion and manipulation}, booktitle = {{IEEE} International High Level Design Validation and Test Workshop, {HLDVT} 2010, Anaheim, CA, USA, 10-12 June 2010}, pages = {40--41}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/HLDVT.2010.5496665}, doi = {10.1109/HLDVT.2010.5496665}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hldvt/BombieriGGFFPSV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hldvt/GuglielmoFPSR10, author = {Giuseppe Di Guglielmo and Franco Fummi and Graziano Pravadelli and Stefano Soffia and Marco Roveri}, title = {Semi-formal functional verification by {EFSM} traversing via NuSMV}, booktitle = {{IEEE} International High Level Design Validation and Test Workshop, {HLDVT} 2010, Anaheim, CA, USA, 10-12 June 2010}, pages = {58--65}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/HLDVT.2010.5496660}, doi = {10.1109/HLDVT.2010.5496660}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hldvt/GuglielmoFPSR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hldvt/BombieriFG10, author = {Nicola Bombieri and Franco Fummi and Valerio Guarnieri}, title = {Automatic synthesis of {OSCI} {TLM-2.0} models into {RTL} bus-based IPs}, booktitle = {{IEEE} International High Level Design Validation and Test Workshop, {HLDVT} 2010, Anaheim, CA, USA, 10-12 June 2010}, pages = {105--112}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/HLDVT.2010.5496652}, doi = {10.1109/HLDVT.2010.5496652}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hldvt/BombieriFG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GuglielmoFOP10, author = {Luigi Di Guglielmo and Franco Fummi and Nicola Orlandi and Graziano Pravadelli}, title = {{DDPSL:} An easy way of defining properties}, booktitle = {28th International Conference on Computer Design, {ICCD} 2010, 3-6 October 2010, Amsterdam, The Netherlands, Proceedings}, pages = {468--473}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICCD.2010.5647654}, doi = {10.1109/ICCD.2010.5647654}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GuglielmoFOP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/HantsonRJCUGF10, author = {Hanno Hantson and Jaan Raik and Maksim Jenihhin and Anton Chepurov and Raimund Ubar and Giuseppe Di Guglielmo and Franco Fummi}, title = {Mutation analysis with high-level decision diagrams}, booktitle = {11th Latin American Test Workshop, {LATW} 2010, Punta del Este, Uruguay, March 28-30, 2010}, pages = {1--6}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/LATW.2010.5550336}, doi = {10.1109/LATW.2010.5550336}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/HantsonRJCUGF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/MulasACFQF10, author = {Fabrizio Mulas and Andrea Acquaviva and Salvatore Carta and Gianni Fenu and Davide Quaglia and Franco Fummi}, editor = {Sung Y. Shin and Sascha Ossowski and Michael Schumacher and Mathew J. Palakal and Chih{-}Cheng Hung}, title = {Network-adaptive management of computation energy in wireless sensor networks}, booktitle = {Proceedings of the 2010 {ACM} Symposium on Applied Computing (SAC), Sierre, Switzerland, March 22-26, 2010}, pages = {756--763}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1774088.1774245}, doi = {10.1145/1774088.1774245}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/MulasACFQF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/BombieriFG10, author = {Nicola Bombieri and Franco Fummi and Valerio Guarnieri}, title = {Model checking on {TLM-2.0} IPs through automatic TLM-to-RTL synthesis}, booktitle = {18th {IEEE/IFIP} VLSI-SoC 2010, {IEEE/IFIP} {WG} 10.5 International Conference on Very Large Scale Integration of System-on-Chip, Madrid, Spain, 27-29 September 2010}, pages = {61--66}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/VLSISOC.2010.5642620}, doi = {10.1109/VLSISOC.2010.5642620}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/BombieriFG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/FummiLPP09, author = {Franco Fummi and Mirko Loghi and Massimo Poncino and Graziano Pravadelli}, title = {A cosimulation methodology for {HW/SW} validation and performance estimation}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {14}, number = {2}, pages = {23:1--23:32}, year = {2009}, url = {https://doi.org/10.1145/1497561.1497566}, doi = {10.1145/1497561.1497566}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/FummiLPP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/AcquavivaBFV09, author = {Andrea Acquaviva and Nicola Bombieri and Franco Fummi and Sara Vinco}, editor = {Wolfgang Rosenstiel and Kazutoshi Wakabayashi}, title = {Automatic customization of device drivers for IP-cores used with assorted {CPU} organizations}, booktitle = {Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2009, Grenoble, France, October 11-16, 2009}, pages = {173--182}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629435.1629460}, doi = {10.1145/1629435.1629460}, timestamp = {Mon, 26 Nov 2018 12:14:45 +0100}, biburl = {https://dblp.org/rec/conf/codes/AcquavivaBFV09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BombieriFPHL09, author = {Nicola Bombieri and Franco Fummi and Graziano Pravadelli and Mark Hampton and Florian Letombe}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {Functional qualification of {TLM} verification}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {190--195}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090656}, doi = {10.1109/DATE.2009.5090656}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/BombieriFPHL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FummiPR09, author = {Franco Fummi and Giovanni Perbellini and Niccolo Roncolato}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {Networked embedded system applications design driven by an abstract middleware environment}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {1024--1029}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090814}, doi = {10.1109/DATE.2009.5090814}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FummiPR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BombieriFPV09, author = {Nicola Bombieri and Franco Fummi and Graziano Pravadelli and Sara Vinco}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {Correct-by-construction generation of device drivers based on {RTL} testbenches}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {1500--1505}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090900}, doi = {10.1109/DATE.2009.5090900}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BombieriFPV09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FummiPQA09, author = {Franco Fummi and Giovanni Perbellini and Davide Quaglia and Andrea Acquaviva}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {Flexible energy-aware simulation of heterogenous wireless sensor networks}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {1638--1643}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090926}, doi = {10.1109/DATE.2009.5090926}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FummiPQA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ddecs/BresolinGFPV09, author = {Davide Bresolin and Giuseppe Di Guglielmo and Franco Fummi and Graziano Pravadelli and Tiziano Villa}, title = {The impact of {EFSM} composition on functional {ATPG}}, booktitle = {Proceedings of the 2009 {IEEE} Symposium on Design and Diagnostics of Electronic Circuits and Systems, {DDECS} 2009, April 15-17, 2009, Liberec, Czech Republic}, pages = {44--49}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/DDECS.2009.5012097}, doi = {10.1109/DDECS.2009.5012097}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ddecs/BresolinGFPV09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/GuglielmoFPHL09, author = {Giuseppe Di Guglielmo and Franco Fummi and Graziano Pravadelli and Mark Hampton and Florian Letombe}, editor = {Dimitris Gizopoulos and Susumu Horiguchi and Spyros Tragoudas and Mohammad Tehranipoor}, title = {On the Functional Qualification of a Platform Model}, booktitle = {24th {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} Systems, {DFT} 2009, Chicago, Illinois, USA, October 7-9, 2009}, pages = {182--190}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/DFT.2009.15}, doi = {10.1109/DFT.2009.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/GuglielmoFPHL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/FummiQS09, author = {Franco Fummi and Davide Quaglia and Francesco Stefanni}, editor = {Antonio N{\'{u}}{\~{n}}ez and Pedro P. Carballo}, title = {Time-Varying Network Fault Model for the Design of Dependable Networked Embedded Systems}, booktitle = {12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, {DSD} 2009, 27-29 August 2009, Patras, Greece}, pages = {225--228}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/DSD.2009.202}, doi = {10.1109/DSD.2009.202}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/FummiQS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/GuglielmoFP09, author = {Luigi Di Guglielmo and Franco Fummi and Graziano Pravadelli}, title = {The role of mutation analysis for property qualification}, booktitle = {7th {ACM/IEEE} International Conference on Formal Methods and Models for Codesign {(MEMOCODE} 2009), July 13-15, 2009, Cambridge, Massachusetts, {USA}}, pages = {28--35}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/MEMCOD.2009.5185375}, doi = {10.1109/MEMCOD.2009.5185375}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/memocode/GuglielmoFP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobiquitous/FummiPQV09, author = {Franco Fummi and Giovanni Perbellini and Davide Quaglia and Sara Vinco}, editor = {Archan Misra and Eyal de Lara}, title = {A SystemC-centric approach for simulation and generation of {WSN} applications targeted to ZigBee}, booktitle = {6th Annual International Conference on Mobile and Ubiquitous Systems: Computing, Networking and Services, {MOBIQUITOUS} 2009, Toronto, Canada, July 13-16, 2009}, pages = {1--2}, publisher = {{ICST} / {IEEE}}, year = {2009}, url = {https://doi.org/10.4108/ICST.MOBIQUITOUS2009.6934}, doi = {10.4108/ICST.MOBIQUITOUS2009.6934}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobiquitous/FummiPQV09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtv/BombieriFP09, author = {Nicola Bombieri and Franco Fummi and Graziano Pravadelli}, title = {On the Mutation Analysis of SystemC {TLM-2.0} Standard}, booktitle = {10th International Workshop on Microprocessor Test and Verification, {MTV} 2009, Austin, Texas, USA, 7-9 December 2009}, pages = {32--37}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/MTV.2009.17}, doi = {10.1109/MTV.2009.17}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mtv/BombieriFP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtv/FummiQVPS09, author = {Franco Fummi and Davide Quaglia and Sara Vinco and Giovanni Perbellini and Saul Saggin}, title = {Mixing Simulated and Actual Hardware Devices to Validate Device Drivers in a Complex Embedded Platform}, booktitle = {10th International Workshop on Microprocessor Test and Verification, {MTV} 2009, Austin, Texas, USA, 7-9 December 2009}, pages = {63--68}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/MTV.2009.13}, doi = {10.1109/MTV.2009.13}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mtv/FummiQVPS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/BombieriFP08, author = {Nicola Bombieri and Franco Fummi and Graziano Pravadelli}, title = {Reuse and optimization of testbenches and properties in a TLM-to-RTL design flow}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {13}, number = {3}, pages = {47:1--47:22}, year = {2008}, url = {https://doi.org/10.1145/1367045.1367056}, doi = {10.1145/1367045.1367056}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/BombieriFP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BombieriDF08, author = {Nicola Bombieri and Nicola Deganello and Franco Fummi}, editor = {Donatella Sciuto}, title = {Integrating {RTL} IPs into {TLM} Designs Through Automatic Transactor Generation}, booktitle = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany, March 10-14, 2008}, pages = {15--20}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1109/DATE.2008.4484653}, doi = {10.1109/DATE.2008.4484653}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BombieriDF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BombieriFP08, author = {Nicola Bombieri and Franco Fummi and Graziano Pravadelli}, editor = {Donatella Sciuto}, title = {A Mutation Model for the SystemC {TLM} 2.0 Communication Interfaces}, booktitle = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany, March 10-14, 2008}, pages = {396--401}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1109/DATE.2008.4484713}, doi = {10.1109/DATE.2008.4484713}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BombieriFP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/FummiQS08, author = {Franco Fummi and Davide Quaglia and Francesco Stefanni}, editor = {Cristiana Bolchini and Yong{-}Bin Kim and Dimitris Gizopoulos and Mohammad Tehranipoor}, title = {Network Fault Model for Dependability Assessment of Networked Embedded Systems}, booktitle = {23rd {IEEE} International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} 2008), 1-3 October 2008, Boston, MA, {USA}}, pages = {54--62}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/DFT.2008.21}, doi = {10.1109/DFT.2008.21}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/FummiQS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ewdts/FummiGMP08, author = {Franco Fummi and Valerio Guarnieri and Cristina Marconcini and Graziano Pravadelli}, title = {An optimized CLP-based technique for generating propagation sequences}, booktitle = {2008 East-West Design {\&} Test Symposium, {EWDTS} 2008, Lviv, Ukraine, October 9-12, 2008}, pages = {25--29}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/EWDTS.2008.5580150}, doi = {10.1109/EWDTS.2008.5580150}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ewdts/FummiGMP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ewdts/BombieriFP08, author = {Nicola Bombieri and Franco Fummi and Graziano Pravadelli}, title = {{RTL-TLM} equivalence checking based on simulation}, booktitle = {2008 East-West Design {\&} Test Symposium, {EWDTS} 2008, Lviv, Ukraine, October 9-12, 2008}, pages = {214--217}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/EWDTS.2008.5580149}, doi = {10.1109/EWDTS.2008.5580149}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ewdts/BombieriFP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/FummiQS08, author = {Franco Fummi and Davide Quaglia and Francesco Stefanni}, title = {A SystemC-based Framework for Modeling and Simulation of Networked Embedded Systems}, booktitle = {Forum on specification and Design Languages, {FDL} 2008, September 23-25, 2008, Stuttgart, Germany, Proceedings}, pages = {49--54}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FDL.2008.4641420}, doi = {10.1109/FDL.2008.4641420}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fdl/FummiQS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/StefanniQF08, author = {Francesco Stefanni and Davide Quaglia and Franco Fummi}, editor = {Martin Radetzki}, title = {SystemC Simulation of Networked Embedded Systems}, booktitle = {Languages for Embedded Systems and their Applications - Selected Contributions on Specification, Design, and Verification from FDL'08, September 23-25, 2008, Stuttgart, Germany}, series = {Lecture Notes in Electrical Engineering}, volume = {36}, pages = {201--211}, year = {2008}, url = {https://doi.org/10.1007/978-1-4020-9714-0\_13}, doi = {10.1007/978-1-4020-9714-0\_13}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fdl/StefanniQF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/AcquavivaFPQ08, author = {Andrea Acquaviva and Franco Fummi and Giovanni Perbellini and Davide Quaglia}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {An energy-aware co-simulation framework for the design of wireless sensor networks}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {375--378}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366199}, doi = {10.1145/1366110.1366199}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/AcquavivaFPQ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hldvt/GuglielmoFHPS08, author = {Giuseppe Di Guglielmo and Franco Fummi and Mark Hampton and Graziano Pravadelli and Francesco Stefanni}, title = {The role of parallel simulation in functional verification}, booktitle = {{IEEE} International High Level Design Validation and Test Workshop, {HLDVT} 2008, Incline Village, NV, USA, November 19-21, 2008}, pages = {117--124}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/HLDVT.2008.4695887}, doi = {10.1109/HLDVT.2008.4695887}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/hldvt/GuglielmoFHPS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hldvt/CordibellaFPQ08, author = {Stefano Cordibella and Franco Fummi and Giovanni Perbellini and Davide Quaglia}, title = {A {HW/SW} co-simulation framework for the verification of multi-CPU systems}, booktitle = {{IEEE} International High Level Design Validation and Test Workshop, {HLDVT} 2008, Incline Village, NV, USA, November 19-21, 2008}, pages = {125--131}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/HLDVT.2008.4695888}, doi = {10.1109/HLDVT.2008.4695888}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hldvt/CordibellaFPQ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/GuglielmoFP08, author = {Luigi Di Guglielmo and Franco Fummi and Graziano Pravadelli}, title = {Vacuity Analysis by Fault Simulation}, booktitle = {6th {ACM} {\&} {IEEE} International Conference on Formal Methods and Models for Co-Design {(MEMOCODE} 2008), June 5-7, 2008, Anaheim, CA, {USA}}, pages = {27--36}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/MEMCOD.2008.4547683}, doi = {10.1109/MEMCOD.2008.4547683}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/memocode/GuglielmoFP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/FummiLPP07, author = {Franco Fummi and Mirko Loghi and Giovanni Perbellini and Massimo Poncino}, title = {SystemC co-simulation for core-based embedded systems}, journal = {Des. Autom. Embed. Syst.}, volume = {11}, number = {2-3}, pages = {141--166}, year = {2007}, url = {https://doi.org/10.1007/s10617-007-9006-7}, doi = {10.1007/S10617-007-9006-7}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/FummiLPP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/BombieriFPF07, author = {Nicola Bombieri and Franco Fummi and Graziano Pravadelli and Andrea Fedeli}, title = {Hybrid, Incremental Assertion-Based Verification for {TLM} Design Flows}, journal = {{IEEE} Des. Test Comput.}, volume = {24}, number = {2}, pages = {140--152}, year = {2007}, url = {https://doi.org/10.1109/MDT.2007.48}, doi = {10.1109/MDT.2007.48}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/BombieriFPF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/FummiP07, author = {Franco Fummi and Graziano Pravadelli}, title = {Too Few or Too Many Properties? Measure it by ATPG!}, journal = {J. Electron. Test.}, volume = {23}, number = {5}, pages = {373--388}, year = {2007}, url = {https://doi.org/10.1007/s10836-007-5015-5}, doi = {10.1007/S10836-007-5015-5}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/FummiP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cdt/GuglielmoFMP07, author = {Giuseppe Di Guglielmo and Franco Fummi and Cristina Marconcini and Graziano Pravadelli}, title = {Improving high-level and gate-level testing with {FATE:} {A} functional automatic test pattern generator traversing unstabilised extended {FSM}}, journal = {{IET} Comput. Digit. Tech.}, volume = {1}, number = {3}, pages = {187--196}, year = {2007}, url = {https://doi.org/10.1049/iet-cdt:20060139}, doi = {10.1049/IET-CDT:20060139}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cdt/GuglielmoFMP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcm/FummiP07, author = {Franco Fummi and Giovanni Perbellini}, title = {eEPC: an EPCglobal-compliant Embedded Architecture for RFID-based Solutions}, journal = {J. Commun.}, volume = {2}, number = {7}, pages = {49--58}, year = {2007}, url = {https://doi.org/10.4304/jcm.2.7.49-58}, doi = {10.4304/JCM.2.7.49-58}, timestamp = {Tue, 15 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcm/FummiP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/FedeliFP07, author = {Andrea Fedeli and Franco Fummi and Graziano Pravadelli}, title = {Properties Incompleteness Evaluation by Functional Verification}, journal = {{IEEE} Trans. Computers}, volume = {56}, number = {4}, pages = {528--544}, year = {2007}, url = {https://doi.org/10.1109/TC.2007.1012}, doi = {10.1109/TC.2007.1012}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/FedeliFP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DestroFP07, author = {Paolo Destro and Franco Fummi and Graziano Pravadelli}, editor = {Rudy Lauwereins and Jan Madsen}, title = {A smooth refinement flow for co-designing {HW} and {SW} threads}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {105--110}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364575}, doi = {10.1109/DATE.2007.364575}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DestroFP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BombieriFP07, author = {Nicola Bombieri and Franco Fummi and Graziano Pravadelli}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Incremental {ABV} for functional validation of TL-to-RTL design refinement}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {882--887}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364404}, doi = {10.1109/DATE.2007.364404}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BombieriFP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AlessioFQT07, author = {Elisa Alessio and Franco Fummi and Davide Quaglia and Maura Turolla}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Modeling and simulation alternatives for the design of networked embedded systems}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1030--1035}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364429}, doi = {10.1109/DATE.2007.364429}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AlessioFQT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FummiPPQ07, author = {Franco Fummi and Giovanni Perbellini and R. Pietrangeli and Davide Quaglia}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: {A} middleware-centric design flow for networked embedded systems}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1048--1053}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364432}, doi = {10.1109/DATE.2007.364432}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FummiPPQ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AzzoniBDFGV07, author = {Paolo Azzoni and Massimo Bertoletti and Nicola Dragone and Franco Fummi and Carlo Guardiani and W. Vendraminetto}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Yield-aware placement optimization}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1232--1237}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266633}, timestamp = {Wed, 28 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AzzoniBDFGV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hldvt/FummiPQV07, author = {Franco Fummi and Giovanni Perbellini and Davide Quaglia and Sara Vinco}, title = {{AME:} an abstract middleware environment for validating networked embedded systems applications}, booktitle = {{IEEE} International High Level Design Validation and Test Workshop, {HLDVT} 2007, Irvine, CA, USA, November 7-9, 2007}, pages = {187--194}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/HLDVT.2007.4392812}, doi = {10.1109/HLDVT.2007.4392812}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hldvt/FummiPQV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/BragagniniFHPQ07, author = {Andrea Bragagnini and Franco Fummi and Axel Huebner and Giovanni Perbellini and Davide Quaglia}, title = {Co-simulation framework for the Angel platform}, booktitle = {14th {IEEE} International Conference on Electronics, Circuits, and Systems, {ICECS} 2007, Marrakech, Morocco, December 11-14, 2007}, pages = {629--632}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICECS.2007.4511070}, doi = {10.1109/ICECS.2007.4511070}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/BragagniniFHPQ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/BombieriFPM07, author = {Nicola Bombieri and Franco Fummi and Graziano Pravadelli and Jo{\~{a}}o Marques{-}Silva}, title = {Towards Equivalence Checking Between {TLM} and {RTL} Models}, booktitle = {5th {ACM} {\&} {IEEE} International Conference on Formal Methods and Models for Co-Design {(MEMOCODE} 2007), May 30 - June 1st, Nice, France}, pages = {113--122}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/MEMCOD.2007.371236}, doi = {10.1109/MEMCOD.2007.371236}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/memocode/BombieriFPM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtv/FummiMPH07, author = {Franco Fummi and Cristina Marconcini and Graziano Pravadelli and Ian G. Harris}, editor = {Magdy S. Abadir and Li{-}C. Wang and Jayanta Bhadra}, title = {A CLP-Based Functional {ATPG} for Extended FSMs}, booktitle = {Eighth International Workshop on Microprocessor Test and Verification {(MTV} 2007), Common Challenges and Solutions, 5-6 December 2007, Austin, Texas, {USA}}, pages = {98--105}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/MTV.2007.18}, doi = {10.1109/MTV.2007.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mtv/FummiMPH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-0710-4846, author = {Michele Borgatti and Andrea Capello and Umberto Rossi and Jean{-}Luc Lambert and Imed Moussa and Franco Fummi and Graziano Pravadelli}, title = {An Integrated Design and Verification Methodology for Reconfigurable Multimedia Systems}, journal = {CoRR}, volume = {abs/0710.4846}, year = {2007}, url = {http://arxiv.org/abs/0710.4846}, eprinttype = {arXiv}, eprint = {0710.4846}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-0710-4846.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/HarrisF06, author = {Ian G. Harris and Franco Fummi}, title = {Guest Editor's Introduction}, journal = {Int. J. Parallel Program.}, volume = {34}, number = {1}, pages = {1--2}, year = {2006}, url = {https://doi.org/10.1007/s10766-005-0003-9}, doi = {10.1007/S10766-005-0003-9}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/HarrisF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/BombieriFQ06, author = {Nicola Bombieri and Franco Fummi and Davide Quaglia}, editor = {Reinaldo A. Bergamaschi and Kiyoung Choi}, title = {TLM/network design space exploration for networked embedded systems}, booktitle = {Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2006, Seoul, Korea, October 22-25, 2006}, pages = {58--63}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1176254.1176271}, doi = {10.1145/1176254.1176271}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/BombieriFQ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FummiQRT06, author = {Franco Fummi and Davide Quaglia and Fabio Ricciato and Maura Turolla}, editor = {Georges G. E. Gielen}, title = {Modeling and simulation of mobile gateways interacting with wireless sensor networks}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe: Designers' Forum, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {106--111}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243812}, doi = {10.1109/DATE.2006.243812}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FummiQRT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BombieriFP06, author = {Nicola Bombieri and Franco Fummi and Graziano Pravadelli}, editor = {Georges G. E. Gielen}, title = {On the evaluation of transactor-based verification for reusing {TLM} assertions and testbenches at {RTL}}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1007--1012}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243898}, doi = {10.1109/DATE.2006.243898}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BombieriFP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/GuglielmoFMP06, author = {Giuseppe Di Guglielmo and Franco Fummi and Cristina Marconcini and Graziano Pravadelli}, title = {{FATE:} a Functional {ATPG} to Traverse Unstabilized EFSMs}, booktitle = {11th European Test Symposium, {ETS} 2006, Southhampton, UK, May 21-24, 2006}, pages = {179--184}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ETS.2006.21}, doi = {10.1109/ETS.2006.21}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ets/GuglielmoFMP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/FummiPLP06, author = {Franco Fummi and Giovanni Perbellini and Mirko Loghi and Massimo Poncino}, editor = {Gang Qu and Yehea I. Ismail and Narayanan Vijaykrishnan and Hai Zhou}, title = {ISS-centric modular {HW/SW} co-simulation}, booktitle = {Proceedings of the 16th {ACM} Great Lakes Symposium on {VLSI} 2006, Philadelphia, PA, USA, April 30 - May 1, 2006}, pages = {31--36}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1127908.1127918}, doi = {10.1145/1127908.1127918}, timestamp = {Wed, 16 Aug 2023 21:16:32 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/FummiPLP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hldvt/BombieriF06, author = {Nicola Bombieri and Franco Fummi}, title = {On the Automatic Transactor Generation for TLM-based Design Flows}, booktitle = {Eleventh Annual {IEEE} International High-Level Design Validation and Test Workshop 2006, Monterey, CA, USA, Nov 9-10, 2006}, pages = {85--92}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/HLDVT.2006.319969}, doi = {10.1109/HLDVT.2006.319969}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hldvt/BombieriF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/GuglielmoFMP06, author = {Giuseppe Di Guglielmo and Franco Fummi and Cristina Marconcini and Graziano Pravadelli}, title = {{EFSM} Manipulation to Increase High-Level {ATPG} Effectiveness}, booktitle = {7th International Symposium on Quality of Electronic Design {(ISQED} 2006), 27-29 March 2006, San Jose, CA, {USA}}, pages = {57--62}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISQED.2006.58}, doi = {10.1109/ISQED.2006.58}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/GuglielmoFMP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/BombieriFP06, author = {Nicola Bombieri and Franco Fummi and Graziano Pravadelli}, title = {A methodology for abstracting {RTL} designs into {TL} descriptions}, booktitle = {4th {ACM} {\&} {IEEE} International Conference on Formal Methods and Models for Co-Design {(MEMOCODE} 2006), 27-29 July 2006, Embassy Suites, Napa, California, {USA}}, pages = {103--112}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/MEMCOD.2006.1695911}, doi = {10.1109/MEMCOD.2006.1695911}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/memocode/BombieriFP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sfm/BombieriFP06, author = {Nicola Bombieri and Franco Fummi and Graziano Pravadelli}, editor = {Marco Bernardo and Alessandro Cimatti}, title = {Hardware Design and Simulation for Verification}, booktitle = {Formal Methods for Hardware Verification, 6th International School on Formal Methods for the Design of Computer, Communication, and Software Systems, {SFM} 2006, Bertinoro, Italy, May 22-27, 2006, Advanced Lectures}, series = {Lecture Notes in Computer Science}, volume = {3965}, pages = {1--29}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11757283\_1}, doi = {10.1007/11757283\_1}, timestamp = {Tue, 14 May 2019 10:00:44 +0200}, biburl = {https://dblp.org/rec/conf/sfm/BombieriFP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/GuglielmoFMP06, author = {Giuseppe Di Guglielmo and Franco Fummi and Cristina Marconcini and Graziano Pravadelli}, title = {Improving Gate-Level {ATPG} by Traversing Concurrent EFSMs}, booktitle = {24th {IEEE} {VLSI} Test Symposium {(VTS} 2006), 30 April - 4 May 2006, Berkeley, California, {USA}}, pages = {172--179}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/VTS.2006.39}, doi = {10.1109/VTS.2006.39}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/GuglielmoFMP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/FummiH05, author = {Franco Fummi and Ian G. Harris}, title = {Editorial}, journal = {Int. J. Parallel Program.}, volume = {33}, number = {6}, pages = {583--584}, year = {2005}, url = {https://doi.org/10.1007/s10766-005-8906-z}, doi = {10.1007/S10766-005-8906-Z}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/FummiH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/FummiMP05, author = {Franco Fummi and Cristina Marconcini and Graziano Pravadelli}, title = {Logic-level mapping of high-level faults}, journal = {Integr.}, volume = {38}, number = {3}, pages = {467--490}, year = {2005}, url = {https://doi.org/10.1016/j.vlsi.2004.07.007}, doi = {10.1016/J.VLSI.2004.07.007}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/FummiMP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FummiLMMPP05, author = {Franco Fummi and Mirko Loghi and Stefano Martini and Marco Monguzzi and Giovanni Perbellini and Massimo Poncino}, title = {Virtual Hardware Prototyping through Timed Hardware-Software Co-Simulation}, booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2005), 7-11 March 2005, Munich, Germany}, pages = {798--803}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/DATE.2005.327}, doi = {10.1109/DATE.2005.327}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FummiLMMPP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/FummiPT05, author = {Franco Fummi and Graziano Pravadelli and Franco Toto}, title = {Coverage of formal properties based on a high-level fault model and functional {ATPG}}, booktitle = {10th European Test Symposium, {ETS} 2005, Tallinn, Estonia, May 22-25, 2005}, pages = {162--167}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ETS.2005.12}, doi = {10.1109/ETS.2005.12}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ets/FummiPT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/FummiMP05, author = {Franco Fummi and Cristina Marconcini and Graziano Pravadelli}, editor = {John C. Lach and Gang Qu and Yehea I. Ismail}, title = {An EFSM-based approach for functional {ATPG}}, booktitle = {Proceedings of the 15th {ACM} Great Lakes Symposium on {VLSI} 2005, Chicago, Illinois, USA, April 17-19, 2005}, pages = {197--200}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1057661.1057709}, doi = {10.1145/1057661.1057709}, timestamp = {Wed, 15 Dec 2021 17:59:57 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/FummiMP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/BombieriFP05, author = {Nicola Bombieri and Franco Fummi and Graziano Pravadelli}, title = {Functional Verification of Networked Embedded Systems}, booktitle = {6th International Symposium on Quality of Electronic Design {(ISQED} 2005), 21-23 March 2005, San Jose, CA, {USA}}, pages = {321--326}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISQED.2005.59}, doi = {10.1109/ISQED.2005.59}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/BombieriFP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/BraitFP05, author = {Stefano Brait and Franco Fummi and Graziano Pravadelli}, title = {On the use of a high-level fault model to analyze logical consequence of properties}, booktitle = {3rd {ACM} {\&} {IEEE} International Conference on Formal Methods and Models for Co-Design {(MEMOCODE} 2005), 11-14 July 2005, Verona, Italy, Proceedings}, pages = {221--230}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/MEMCOD.2005.1487918}, doi = {10.1109/MEMCOD.2005.1487918}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/memocode/BraitFP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/BombieriFF05, author = {Nicola Bombieri and Andrea Fedeli and Franco Fummi}, title = {Extended abstract: on the property-based verification in SoC design flow founded on transaction level modeling}, booktitle = {3rd {ACM} {\&} {IEEE} International Conference on Formal Methods and Models for Co-Design {(MEMOCODE} 2005), 11-14 July 2005, Verona, Italy, Proceedings}, pages = {239--240}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/MEMCOD.2005.1487922}, doi = {10.1109/MEMCOD.2005.1487922}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/memocode/BombieriFF05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobiquitous/FummiMPRT05, author = {Franco Fummi and Stefano Martini and Giovanni Perbellini and Fabio Ricciato and Maura Turolla}, title = {Embedded {SW} Design Issues for Distributed Applications on Mobile Terminals}, booktitle = {2nd Annual International Conference on Mobile and Ubiquitous Systems (MobiQuitous 2005), 17-21 July 2005, San Diego, CA, {USA}}, pages = {507--509}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/MOBIQUITOUS.2005.27}, doi = {10.1109/MOBIQUITOUS.2005.27}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobiquitous/FummiMPRT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtv/GuglielmoFMP05, author = {Giuseppe Di Guglielmo and Franco Fummi and Cristina Marconcini and Graziano Pravadelli}, editor = {Magdy S. Abadir and Li{-}C. Wang}, title = {A Pseudo-Deterministic Functional {ATPG} based on {EFSM} Traversing}, booktitle = {Sixth International Workshop on Microprocessor Test and Verification {(MTV} 2005), Common Challenges and Solutions, 3-4 November 2005, Austin, Texas, {USA}}, pages = {70--75}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/MTV.2005.1}, doi = {10.1109/MTV.2005.1}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mtv/GuglielmoFMP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtv/BombieriFF05, author = {Nicola Bombieri and Andrea Fedeli and Franco Fummi}, editor = {Magdy S. Abadir and Li{-}C. Wang}, title = {On {PSL} Properties Re-use in SoC Design Flow Based on Transaction Level Modeling}, booktitle = {Sixth International Workshop on Microprocessor Test and Verification {(MTV} 2005), Common Challenges and Solutions, 3-4 November 2005, Austin, Texas, {USA}}, pages = {127--132}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/MTV.2005.15}, doi = {10.1109/MTV.2005.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mtv/BombieriFF05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/FormaggioFP04, author = {Luca Formaggio and Franco Fummi and Graziano Pravadelli}, editor = {Alex Orailoglu and Pai H. Chou and Petru Eles and Axel Jantsch}, title = {A timing-accurate {HW/SW} co-simulation of an {ISS} with SystemC}, booktitle = {Proceedings of the 2nd {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2004, Stockholm, Sweden, September 8-10, 2004}, pages = {152--157}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1016720.1016759}, doi = {10.1145/1016720.1016759}, timestamp = {Wed, 04 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/FormaggioFP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FummiMPPRT04, author = {Franco Fummi and Stefano Martini and Giovanni Perbellini and Massimo Poncino and Fabio Ricciato and Maura Turolla}, title = {Heterogeneous Co-Simulation of Networked Embedded Systems}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {168--173}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DATE.2004.1269225}, doi = {10.1109/DATE.2004.1269225}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FummiMPPRT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BorgattiCRLMFP04, author = {Michele Borgatti and Andrea Capello and Umberto Rossi and Jean{-}Luc Lambert and Imed Moussa and Franco Fummi and Graziano Pravadelli}, title = {An Integrated Design and Verification Methodology for Reconfigurable Multimedia Systems}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {266--271}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DATE.2005.61}, doi = {10.1109/DATE.2005.61}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BorgattiCRLMFP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FummiMMPP04, author = {Franco Fummi and Stefano Martini and Marco Monguzzi and Giovanni Perbellini and Massimo Poncino}, title = {Modeling and Analysis of Heterogeneous Industrial Networks Architectures}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {342--344}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DATE.2004.1269270}, doi = {10.1109/DATE.2004.1269270}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FummiMMPP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FummiMPP04, author = {Franco Fummi and Stefano Martini and Giovanni Perbellini and Massimo Poncino}, title = {Native ISS-SystemC Integration for the Co-Simulation of Multi-Processor SoC}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {564--569}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DATE.2004.1268905}, doi = {10.1109/DATE.2004.1268905}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FummiMPP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/BombieriFP04, author = {Nicola Bombieri and Franco Fummi and Graziano Pravadelli}, title = {At-Speed Functional Verification of Programmable Devices}, booktitle = {19th {IEEE} International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} 2004), 10-13 October 2004, Cannes, France, Proceedings}, pages = {386--394}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.ieeecomputersociety.org/10.1109/DFT.2004.13}, doi = {10.1109/DFT.2004.13}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/BombieriFP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/FummiMP04, author = {Franco Fummi and Cristina Marconcini and Graziano Pravadelli}, title = {Functional fault coverage: the chamber of secrets or an accurate estimation of gate-level coverage?}, booktitle = {9th European Test Symposium, {ETS} 2004, Ajaccio, France, May 23-26, 2004}, pages = {154--159}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ETSYM.2004.1347649}, doi = {10.1109/ETSYM.2004.1347649}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ets/FummiMP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/FummiP04, author = {Franco Fummi and Graziano Pravadelli}, editor = {David Garrett and John C. Lach and Charles A. Zukowski}, title = {Logic-level analysis of high-level faults}, booktitle = {Proceedings of the 14th {ACM} Great Lakes Symposium on {VLSI} 2004, Boston, MA, USA, April 26-28, 2004}, pages = {100--103}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/988952.988977}, doi = {10.1145/988952.988977}, timestamp = {Fri, 20 Aug 2021 16:30:37 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/FummiP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hldvt/FummiMP04, author = {Franco Fummi and Cristina Marconcini and Graziano Pravadelli}, title = {Functional verification based on the {EFSM} model}, booktitle = {Ninth {IEEE} International High-Level Design Validation and Test Workshop 2004, Sonoma Valley, CA, USA, November 10-12, 2004}, pages = {69--74}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/HLDVT.2004.1431240}, doi = {10.1109/HLDVT.2004.1431240}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hldvt/FummiMP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FummiMMPP04, author = {Franco Fummi and Stefano Martini and Marco Monguzzi and Giovanni Perbellini and Massimo Poncino}, title = {Software/Network Co-Simulation of Heterogeneous Industrial Networks Architectures}, booktitle = {22nd {IEEE} International Conference on Computer Design: {VLSI} in Computers {\&} Processors {(ICCD} 2004), 11-13 October 2004, San Jose, CA, USA, Proceedings}, pages = {496--501}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICCD.2004.1347967}, doi = {10.1109/ICCD.2004.1347967}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FummiMMPP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtv/BorgattiFRLMFMP04, author = {Michele Borgatti and Andrea Fedeli and Umberto Rossi and Jean{-}Luc Lambert and Imed Moussa and Franco Fummi and Cristina Marconcini and Graziano Pravadelli}, title = {A Verification Methodology for Reconfigurable Systems}, booktitle = {Fifth International Workshop on Microprocessor Test and Verification {(MTV} 2004), Common Challenges and Solutions, 08-10 September 2004, Austin, Texas, {USA}}, pages = {85--90}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/MTV.2004.2}, doi = {10.1109/MTV.2004.2}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mtv/BorgattiFRLMFMP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/BeniniBBDFP03, author = {Luca Benini and Davide Bertozzi and Davide Bruni and Nicola Drago and Franco Fummi and Massimo Poncino}, title = {SystemC Cosimulation and Emulation of Multiprocessor SoC Designs}, journal = {Computer}, volume = {36}, number = {4}, pages = {53--59}, year = {2003}, url = {https://doi.org/10.1109/MC.2003.1193229}, doi = {10.1109/MC.2003.1193229}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/BeniniBBDFP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/FinF03, author = {Alessandro Fin and Franco Fummi}, title = {A Remote Methodology for Embedded Systems Design and Validation}, journal = {Des. Autom. Embed. Syst.}, volume = {8}, number = {2-3}, pages = {229--247}, year = {2003}, url = {https://doi.org/10.1023/B:DAEM.0000003964.80057.ac}, doi = {10.1023/B:DAEM.0000003964.80057.AC}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/FinF03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tr/FerrandiFPS03, author = {Fabrizio Ferrandi and Franco Fummi and Graziano Pravadelli and Donatella Sciuto}, title = {Identification of design errors through functional testing}, journal = {{IEEE} Trans. Reliab.}, volume = {52}, number = {4}, pages = {400--412}, year = {2003}, url = {https://doi.org/10.1109/TR.2003.821926}, doi = {10.1109/TR.2003.821926}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tr/FerrandiFPS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FummiPGPMR03, author = {Franco Fummi and Giovanni Perbellini and Paolo Gallo and Massimo Poncino and Stefano Martini and Fabio Ricciato}, title = {A timing-accurate modeling and simulation environment for networked embedded systems}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {42--47}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775846}, doi = {10.1145/775832.775846}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/FummiPGPMR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DragoFMPP03, author = {Nicola Drago and Franco Fummi and Marco Monguzzi and Giovanni Perbellini and Massimo Poncino}, title = {Estimation of Bus Performance for a Tuplespace in an Embedded Architecture}, booktitle = {2003 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2003), 3-7 March 2003, Munich, Germany}, pages = {20188--20195}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/DATE.2003.1186693}, doi = {10.1109/DATE.2003.1186693}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DragoFMPP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/FinF03, author = {Alessandro Fin and Franco Fummi}, title = {{LAERTE++:} an Object Oriented High-level {TPG} for SystemC Designs}, booktitle = {Forum on specification and Design Languages, {FDL} 2003, September 23-26, 2003, Frankfurt, Germany, Proceedings}, pages = {658--668}, publisher = {{ECSI}}, year = {2003}, url = {http://www.ecsi-association.org/ecsi/main.asp?l1=library\&\#38;fn=def\&\#38;id=788}, doi = {10.1007/1-4020-7991-5\_7}, timestamp = {Thu, 03 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fdl/FinF03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/FinFP03, author = {Alessandro Fin and Franco Fummi and Graziano Pravadelli}, editor = {Mircea R. Stan and David Garrett and Kazuo Nakajima}, title = {Mixing {ATPG} and property checking for testing {HW/SW} interfaces}, booktitle = {Proceedings of the 13th {ACM} Great Lakes Symposium on {VLSI} 2003, Washington, DC, USA, April 28-29, 2003}, pages = {303--306}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/764808.764887}, doi = {10.1145/764808.764887}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/FinFP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hldvt/FummiMP03, author = {Franco Fummi and Cristina Marconcini and Graziano Pravadelli}, title = {Redundant functional faults reduction by saboteurs synthesis [logic verification]}, booktitle = {Eighth {IEEE} International High-Level Design Validation and Test Workshop 2003, San Francisco, CA, USA, November 12-14, 2003}, pages = {108--113}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/HLDVT.2003.1252483}, doi = {10.1109/HLDVT.2003.1252483}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hldvt/FummiMP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hldvt/FinF03, author = {Alessandro Fin and Franco Fummi}, title = {Genetic algorithms: the philosopher's stone or an effective solution for high-level TPG?}, booktitle = {Eighth {IEEE} International High-Level Design Validation and Test Workshop 2003, San Francisco, CA, USA, November 12-14, 2003}, pages = {163--168}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/HLDVT.2003.1252491}, doi = {10.1109/HLDVT.2003.1252491}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hldvt/FinF03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Fummi03, author = {Franco Fummi}, title = {The Confluence of Manufacturing Test and Design Validation}, booktitle = {Proceedings 2003 International Test Conference {(ITC} 2003), Breaking Test Interface Bottlenecks, 28 September - 3 October 2003, Charlotte, NC, {USA}}, pages = {1291}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/TEST.2003.1271131}, doi = {10.1109/TEST.2003.1271131}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Fummi03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/FummiPFRT03, author = {Franco Fummi and Graziano Pravadelli and Andrea Fedeli and Umberto Rossi and Franco Toto}, title = {On the Use of a High-Level Fault Model to Check Properties Incompleteness}, booktitle = {1st {ACM} {\&} {IEEE} International Conference on Formal Methods and Models for Co-Design {(MEMOCODE} 2003), 24-26 June 2003, Mont Saint-Michel, France, Proceedings}, pages = {145--152}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/MEMCOD.2003.1210099}, doi = {10.1109/MEMCOD.2003.1210099}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/memocode/FummiPFRT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtv/FinFPP03, author = {Alessandro Fin and Franco Fummi and Massimo Poncino and Graziano Pravadelli}, title = {A SystemC-based Framework for Properties Incompleteness Evaluation}, booktitle = {Fourth International Workshop on Microprocessor Test and Verification, Common Challenges and Solutions {(MTV} 2003), May 29-30, 2003, Hyatt Town Lake Hotel, Austin, Texas, {USA}}, pages = {89--94}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/MTV.2003.1250268}, doi = {10.1109/MTV.2003.1250268}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mtv/FinFPP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/BiasoliFFFS02, author = {Giuseppe Biasoli and Fabrizio Ferrandi and Alessandro Fin and Franco Fummi and Donatella Sciuto}, title = {Behavioral test generation for the selection of {BIST} logic}, journal = {J. Syst. Archit.}, volume = {47}, number = {10}, pages = {821--829}, year = {2002}, url = {https://doi.org/10.1016/S1383-7621(01)00034-0}, doi = {10.1016/S1383-7621(01)00034-0}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/BiasoliFFFS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/FerrandiFS02, author = {Fabrizio Ferrandi and Franco Fummi and Donatella Sciuto}, title = {Test Generation and Testability Alternatives Exploration of Critical Algorithms for Embedded Applications}, journal = {{IEEE} Trans. Computers}, volume = {51}, number = {2}, pages = {200--215}, year = {2002}, url = {https://doi.org/10.1109/12.980008}, doi = {10.1109/12.980008}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/FerrandiFS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/CastelnuovotFFS02, author = {A. Castelnuovo and Alessandro Fin and Franco Fummi and F. Sforza}, title = {Emulation-Based Design Errors Identification}, booktitle = {17th {IEEE} International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} 2002), 6-8 November 2002, Vancouver, BC, Canada, Proceedings}, pages = {365--371}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/DFTVS.2002.1173533}, doi = {10.1109/DFTVS.2002.1173533}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/CastelnuovotFFS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/YuFFR02, author = {Xiaoming Yu and Alessandro Fin and Franco Fummi and Elizabeth M. Rudnick}, editor = {William B. Langdon and Erick Cant{\'{u}}{-}Paz and Keith E. Mathias and Rajkumar Roy and David Davis and Riccardo Poli and Karthik Balakrishnan and Vasant G. Honavar and G{\"{u}}nter Rudolph and Joachim Wegener and Larry Bull and Mitchell A. Potter and Alan C. Schultz and Julian F. Miller and Edmund K. Burke and Natasa Jonoska}, title = {Functional Test Generation For Digital Integrated Circuits Using {A} Genetic Algorithm}, booktitle = {{GECCO} 2002: Proceedings of the Genetic and Evolutionary Computation Conference, New York, USA, 9-13 July 2002}, pages = {1275}, publisher = {Morgan Kaufmann}, year = {2002}, timestamp = {Mon, 13 Nov 2017 18:15:11 +0100}, biburl = {https://dblp.org/rec/conf/gecco/YuFFR02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/AzzoniFFPRT02, author = {Paolo Azzoni and Andrea Fedeli and Franco Fummi and Graziano Pravadelli and Umberto Rossi and Franco Toto}, editor = {Kanad Ghose and Patrick H. Madden and Vivek De and Peter M. Kogge}, title = {An error simulation based approach to measure error coverage of formal properties}, booktitle = {Proceedings of the 12th {ACM} Great Lakes Symposium on {VLSI} 2002, New York, NY, USA, April 18-19, 2002}, pages = {53--58}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/505306.505319}, doi = {10.1145/505306.505319}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/AzzoniFFPRT02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/FinF02, author = {Alessandro Fin and Franco Fummi}, editor = {Kanad Ghose and Patrick H. Madden and Vivek De and Peter M. Kogge}, title = {Protected IP-core test generation}, booktitle = {Proceedings of the 12th {ACM} Great Lakes Symposium on {VLSI} 2002, New York, NY, USA, April 18-19, 2002}, pages = {59--64}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/505306.505320}, doi = {10.1145/505306.505320}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/FinF02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hldvt/CastelnuovoFFFPRST02, author = {A. Castelnuovo and Andrea Fedeli and Alessandro Fin and Franco Fummi and Graziano Pravadelli and Umberto Rossi and F. Sforza and Franco Toto}, title = {A 1000X speed up for properties completeness evaluation}, booktitle = {Seventh {IEEE} International High-Level Design Validation and Test Workshop 2002, Cannes, France, October 27-29, 2002}, pages = {18--22}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/HLDVT.2002.1224422}, doi = {10.1109/HLDVT.2002.1224422}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hldvt/CastelnuovoFFFPRST02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BeniniBBDFP02, author = {Luca Benini and Davide Bertozzi and Davide Bruni and Nicola Drago and Franco Fummi and Massimo Poncino}, title = {Legacy SystemC Co-Simulation of Multi-Processor Systems-on-Chip}, booktitle = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI} in Computers and Processors, 16-18 September 2002, Freiburg, Germany, Proceedings}, pages = {494--499}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ICCD.2002.1106819}, doi = {10.1109/ICCD.2002.1106819}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BeniniBBDFP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictai/YuFFR02, author = {Xiaoming Yu and Alessandro Fin and Franco Fummi and Elizabeth M. Rudnick}, title = {A Genetic Testing Framework for Digital Integrated Circuits}, booktitle = {14th {IEEE} International Conference on Tools with Artificial Intelligence {(ICTAI} 2002), 4-6 November 2002, Washington, DC, {USA}}, pages = {521--526}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/TAI.2002.1180847}, doi = {10.1109/TAI.2002.1180847}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictai/YuFFR02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CailottoFF02, author = {S. Cailotto and Alessandro Fin and Franco Fummi}, title = {A fault tolerant incremental design methodology}, booktitle = {Proceedings of the 2002 International Symposium on Circuits and Systems, {ISCAS} 2002, Scottsdale, Arizona, USA, May 26-29, 2002}, pages = {161--164}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ISCAS.2002.1010185}, doi = {10.1109/ISCAS.2002.1010185}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CailottoFF02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MartignanoDFM02, author = {Maurizio Martignano and Nicola Drago and Franco Fummi and Stefano Martini}, title = {A combined approach to validate the design of embedded network devices}, booktitle = {Proceedings of the 2002 International Symposium on Circuits and Systems, {ISCAS} 2002, Scottsdale, Arizona, USA, May 26-29, 2002}, pages = {169--172}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ISCAS.2002.1010187}, doi = {10.1109/ISCAS.2002.1010187}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MartignanoDFM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/FummiBYR01, author = {Franco Fummi and Marco Boschini and Xiaoming Yu and Elizabeth M. Rudnick}, title = {Sequential Circuit Test Generation Using a Symbolic/Genetic Hybrid Approach}, journal = {J. Electron. Test.}, volume = {17}, number = {3-4}, pages = {321--330}, year = {2001}, url = {https://doi.org/10.1023/A:1012275631257}, doi = {10.1023/A:1012275631257}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/FummiBYR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/FinFMS01, author = {Alessandro Fin and Franco Fummi and Maurizio Martignano and Mirko Signoretto}, editor = {Jan Madsen and J{\"{o}}rg Henkel and Xiaobo Sharon Hu}, title = {SystemC: a homogenous environment to test embedded systems}, booktitle = {Proceedings of the Ninth International Symposium on Hardware/Software Codesign, {CODES} 2001, Copenhagen, Denmark, 2001}, pages = {17--22}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/371636.371657}, doi = {10.1145/371636.371657}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/codes/FinFMS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FerrandiFSFF01, author = {Fabrizio Ferrandi and G. Ferrara and Donatella Sciuto and Alessandro Fin and Franco Fummi}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Functional test generation for behaviorally sequential models}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {403--410}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915056}, doi = {10.1109/DATE.2001.915056}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FerrandiFSFF01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/FinFP01, author = {Alessandro Fin and Franco Fummi and Giovanni Perbellini}, editor = {Rom{\'{a}}n Hermida and El Mostapha Aboulhamid}, title = {Soft-cores generation by instruction set analysis}, booktitle = {Proceedings of the 14th International Symposium on Systems Synthesis, {ISSS} 2001, Montr{\'{e}}l, Qu{\'{e}}bec, Canada, September 30 - October 3, 2001}, pages = {227--232}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2001}, url = {https://doi.ieeecomputersociety.org/10.1109/ISSS.2001.957946}, doi = {10.1109/ISSS.2001.957946}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isss/FinFP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/FinFP01, author = {Alessandro Fin and Franco Fummi and Graziano Pravadelli}, title = {{AMLETO:} a multi-language environment for functional test generation}, booktitle = {Proceedings {IEEE} International Test Conference 2001, Baltimore, MD, USA, 30 October - 1 November 2001}, pages = {821--829}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/TEST.2001.966704}, doi = {10.1109/TEST.2001.966704}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/FinFP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/BuonannoFS00, author = {Giacomo Buonanno and Franco Fummi and Donatella Sciuto}, title = {An extended-UIO-based method for protocol conformance testing}, journal = {J. Syst. Archit.}, volume = {46}, number = {3}, pages = {225--242}, year = {2000}, url = {https://doi.org/10.1016/S1383-7621(99)00003-X}, doi = {10.1016/S1383-7621(99)00003-X}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/BuonannoFS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/FummiS00, author = {Franco Fummi and Donatella Sciuto}, title = {A Hierarchical Test Generation Approach for Large Controllers}, journal = {{IEEE} Trans. Computers}, volume = {49}, number = {4}, pages = {289--302}, year = {2000}, url = {https://doi.org/10.1109/12.844343}, doi = {10.1109/12.844343}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/FummiS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/FerrandiFMPS00, author = {Fabrizio Ferrandi and Franco Fummi and Enrico Macii and Massimo Poncino and Donatella Sciuto}, title = {Symbolic optimization of interacting controllers based onredundancy identification and removal}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {19}, number = {7}, pages = {760--772}, year = {2000}, url = {https://doi.org/10.1109/43.851991}, doi = {10.1109/43.851991}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/FerrandiFMPS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FinF00, author = {Alessandro Fin and Franco Fummi}, editor = {Giovanni De Micheli}, title = {A Web-CAD methodology for IP-core analysis and simulation}, booktitle = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000}, pages = {597--600}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/337292.337590}, doi = {10.1145/337292.337590}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/FinF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FinF00, author = {Alessandro Fin and Franco Fummi}, editor = {Ivo Bolsens}, title = {A {VHDL} Error Simulator for Functional Test Generation}, booktitle = {2000 Design, Automation and Test in Europe {(DATE} 2000), 27-30 March 2000, Paris, France}, pages = {390--395}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2000}, url = {https://doi.org/10.1109/DATE.2000.840301}, doi = {10.1109/DATE.2000.840301}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FinF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/BiasoliFSFF00, author = {Giuseppe Biasoli and Fabrizio Ferrandi and Donatella Sciuto and Alessandro Fin and Franco Fummi}, title = {{BIST} Architectures Selection Based on Behavioral Testing}, booktitle = {15th {IEEE} International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} 2000), 25-27 October 2000, Yamanashi, Japan, Proceedings}, pages = {292--298}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/DFTVS.2000.887169}, doi = {10.1109/DFTVS.2000.887169}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/BiasoliFSFF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/BoschiniYFR00, author = {Marco Boschini and Xiaoming Yu and Franco Fummi and Elizabeth M. Rudnick}, title = {Combining symbolic and genetic techniques for efficient sequential circuit test generation}, booktitle = {5th European Test Workshop, {ETW} 2000, Cascais, Portugal, May 23-26, 2000}, pages = {105--110}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ETW.2000.873786}, doi = {10.1109/ETW.2000.873786}, timestamp = {Tue, 28 Apr 2020 13:03:46 +0200}, biburl = {https://dblp.org/rec/conf/ets/BoschiniYFR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FerrandiSFF00, author = {Fabrizio Ferrandi and Donatella Sciuto and Alessandro Fin and Franco Fummi}, title = {An Application of Genetic Algorithms and BDDs to Functional Testing}, booktitle = {Proceedings of the {IEEE} International Conference On Computer Design: {VLSI} In Computers {\&} Processors, {ICCD} '00, Austin, Texas, USA, September 17-20, 2000}, pages = {48--56}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICCD.2000.878268}, doi = {10.1109/ICCD.2000.878268}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FerrandiSFF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/FerrandiFSFF00, author = {Fabrizio Ferrandi and G. Fornara and Donatella Sciuto and G. Ferrara and Franco Fummi}, title = {Testability Alternatives Exploration through Functional Testing}, booktitle = {18th {IEEE} {VLSI} Test Symposium {(VTS} 2000), 30 April - 4 May 2000, Montreal, Canada}, pages = {423--430}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/VTEST.2000.843874}, doi = {10.1109/VTEST.2000.843874}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/FerrandiFSFF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/FummiSS99, author = {Franco Fummi and Donatella Sciuto and Micaela Serra}, title = {Synthesis for Testability of Highly Complex Controllers by Functional Redundancy Removal}, journal = {{IEEE} Trans. Computers}, volume = {48}, number = {12}, pages = {1305--1323}, year = {1999}, url = {https://doi.org/10.1109/12.817386}, doi = {10.1109/12.817386}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/FummiSS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FerrandiFGS99, author = {Fabrizio Ferrandi and Franco Fummi and Luca Gerli and Donatella Sciuto}, title = {Symbolic Functional Vector Generation for {VHDL} Specifications}, booktitle = {1999 Design, Automation and Test in Europe {(DATE} '99), 9-12 March 1999, Munich, Germany}, pages = {442}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1999}, url = {https://doi.org/10.1109/DATE.1999.761163}, doi = {10.1109/DATE.1999.761163}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FerrandiFGS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/BrazzarolaF99, author = {Marco Brazzarola and Franco Fummi}, title = {Power Characterization of LFSRs}, booktitle = {14th International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} '99), November 1-3, 1999, Albuquerque, NM, USA, Proceedings}, pages = {139--147}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/DFTVS.1999.802879}, doi = {10.1109/DFTVS.1999.802879}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/BrazzarolaF99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/BreraFSF99, author = {Marco Brera and Fabrizio Ferrandi and Donatella Sciuto and Franco Fummi}, title = {Increase the Behavioral Fault Model Accuracy Using High-Level Synthesis Information}, booktitle = {14th International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} '99), November 1-3, 1999, Albuquerque, NM, USA, Proceedings}, pages = {174--180}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/DFTVS.1999.802883}, doi = {10.1109/DFTVS.1999.802883}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/BreraFSF99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/FummiSS98, author = {Franco Fummi and Donatella Sciuto and Cristina Silvano}, title = {Automatic generation of error control codes for computer applications}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {6}, number = {3}, pages = {502--506}, year = {1998}, url = {https://doi.org/10.1109/92.711322}, doi = {10.1109/92.711322}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/FummiSS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/AlippiFPSS98, author = {Cesare Alippi and Franco Fummi and Vincenzo Piuri and Mariagiovanna Sami and Donatella Sciuto}, title = {Testability analysis and behavioral testing of the Hopfield neural paradigm}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {6}, number = {3}, pages = {507--511}, year = {1998}, url = {https://doi.org/10.1109/92.711323}, doi = {10.1109/92.711323}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/AlippiFPSS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FerrandiFMP98, author = {Fabrizio Ferrandi and Franco Fummi and Enrico Macii and Massimo Poncino}, editor = {Patrick M. Dewilde and Franz J. Rammig and Gerry Musgrave}, title = {Power Estimation of Behavioral Descriptions}, booktitle = {1998 Design, Automation and Test in Europe {(DATE} '98), February 23-26, 1998, Le Palais des Congr{\`{e}}s de Paris, Paris, France}, pages = {762--766}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/DATE.1998.655944}, doi = {10.1109/DATE.1998.655944}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FerrandiFMP98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/FummiMPS98, author = {Franco Fummi and A. Marshall and Laura Pozzi and Mariagiovanna Sami}, editor = {Jason Cong and Sinan Kaptanoglu}, title = {Minimizing the Application Time for Manufacturer Testing of {FPGA} (Abstract)}, booktitle = {Proceedings of the 1998 {ACM/SIGDA} Sixth International Symposium on Field Programmable Gate Arrays, {FPGA} 1998, Monterey, CA, USA, February 22-24, 1998}, pages = {258}, publisher = {{ACM}}, year = {1998}, url = {https://doi.org/10.1145/275107.275159}, doi = {10.1145/275107.275159}, timestamp = {Tue, 06 Nov 2018 16:58:22 +0100}, biburl = {https://dblp.org/rec/conf/fpga/FummiMPS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/BiettiFFS98, author = {F. S. Bietti and Fabrizio Ferrandi and Franco Fummi and Donatella Sciuto}, title = {{VHDL} Testability Analysis Based on Fault Clustering and Implicit Fault Injection}, booktitle = {8th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '98), 19-21 February 1998, Lafayette, LA, {USA}}, pages = {237--242}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/GLSV.1998.665238}, doi = {10.1109/GLSV.1998.665238}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/BiettiFFS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CorvinoEFFS98, author = {D. Corvino and Italo Epicoco and Fabrizio Ferrandi and Franco Fummi and Donatella Sciuto}, title = {Automatic {VHDL} restructuring for {RTL} synthesis optimization and testability improvement}, booktitle = {International Conference on Computer Design: {VLSI} in Computers and Processors, {ICCD} 1998, Proceedings, 5-7 October, 1998, Austin, TX, {USA}}, pages = {436--441}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ICCD.1998.727086}, doi = {10.1109/ICCD.1998.727086}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/CorvinoEFFS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/FerrandiFS98, author = {Fabrizio Ferrandi and Franco Fummi and Donatella Sciuto}, title = {Implicit test generation for behavioral {VHDL} models}, booktitle = {Proceedings {IEEE} International Test Conference 1998, Washington, DC, USA, October 18-22, 1998}, pages = {587--596}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/TEST.1998.743202}, doi = {10.1109/TEST.1998.743202}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/FerrandiFS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/FerrandiFSMP97, author = {Fabrizio Ferrandi and Franco Fummi and Donatella Sciuto and Enrico Macii and Massimo Poncino}, title = {Testing Core-Based Systems: {A} Symbolic Methodology}, journal = {{IEEE} Des. Test Comput.}, volume = {14}, number = {4}, pages = {69--77}, year = {1997}, url = {https://doi.org/10.1109/54.632883}, doi = {10.1109/54.632883}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/FerrandiFSMP97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/FummiS97, author = {Franco Fummi and Donatella Sciuto}, title = {A complete testing strategy based on interacting and hierarchical FSMs}, journal = {Integr.}, volume = {23}, number = {1}, pages = {75--93}, year = {1997}, url = {https://doi.org/10.1016/S0167-9260(97)00015-1}, doi = {10.1016/S0167-9260(97)00015-1}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/FummiS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/FummiRS97, author = {Franco Fummi and U. Rovati and Donatella Sciuto}, title = {Functional design for testability of control-dominated architectures}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {2}, number = {2}, pages = {98--122}, year = {1997}, url = {https://doi.org/10.1145/253052.253064}, doi = {10.1145/253052.253064}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/FummiRS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/FerrandiFPS97, author = {Fabrizio Ferrandi and Franco Fummi and Laura Pozzi and Mariagiovanna Sami}, title = {Configuration-Specific Test Pattern Extraction for Field Programmable Gate Arrays}, booktitle = {1997 Workshop on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} '97), 20-22 October 1997, Paris, France}, pages = {85--93}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/DFTVS.1997.628313}, doi = {10.1109/DFTVS.1997.628313}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/FerrandiFPS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/FummiST97, author = {Franco Fummi and Mariagiovanna Sami and F. Tartarini}, title = {Use of Statecharts-Related Description to Achieve Testable Design of Control Subsystems}, booktitle = {7th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '97), 13-15 March 1997, Urbana, IL, {USA}}, pages = {118--123}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/GLSV.1997.580513}, doi = {10.1109/GLSV.1997.580513}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/FummiST97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/BuonannoFFFS97, author = {Giacomo Buonanno and Fabrizio Ferrandi and L. Ferrandi and Franco Fummi and Donatella Sciuto}, title = {How an "Evolving" Fault Model Improves the Behavioral Test Generation}, booktitle = {7th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '97), 13-15 March 1997, Urbana, IL, {USA}}, pages = {124}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/GLSV.1997.580515}, doi = {10.1109/GLSV.1997.580515}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/BuonannoFFFS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BacisBFFGS97, author = {M. Bacis and Giacomo Buonanno and Fabrizio Ferrandi and Franco Fummi and Luca Gerli and Donatella Sciuto}, title = {Application of a Testing Framework to {VHDL} Descriptions at Different Abstraction Levels}, booktitle = {Proceedings 1997 International Conference on Computer Design: {VLSI} in Computers {\&} Processors, {ICCD} '97, Austin, Texas, USA, October 12-15, 1997}, pages = {654--658}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/ICCD.1997.628935}, doi = {10.1109/ICCD.1997.628935}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BacisBFFGS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/FummiS97, author = {Franco Fummi and Donatella Sciuto}, title = {Implicit test pattern generation constrained to cellular automata embedding}, booktitle = {15th {IEEE} {VLSI} Test Symposium (VTS'97), April 27-May 1, 1997, Monterey, California, {USA}}, pages = {54--59}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/VTEST.1997.599441}, doi = {10.1109/VTEST.1997.599441}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/FummiS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/BuonannoaFSL96, author = {G. Buonannoa and Franco Fummi and Donatella Sciuto and Fabrizio Lombardi}, title = {FsmTest: Functional test generation for sequential circuits}, journal = {Integr.}, volume = {20}, number = {3}, pages = {303--325}, year = {1996}, url = {https://doi.org/10.1016/0167-9260(96)00006-5}, doi = {10.1016/0167-9260(96)00006-5}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/BuonannoaFSL96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FerrandiFMPS96, author = {Fabrizio Ferrandi and Franco Fummi and Enrico Macii and Massimo Poncino and Donatella Sciuto}, editor = {Thomas Pennino and Ellen J. Yoffa}, title = {Symbolic Optimization of {FSM} Networks Based on Sequential {ATPG} Techniques}, booktitle = {Proceedings of the 33st Conference on Design Automation, Las Vegas, Nevada, USA, Las Vegas Convention Center, June 3-7, 1996}, pages = {467--470}, publisher = {{ACM} Press}, year = {1996}, url = {https://doi.org/10.1145/240518.240607}, doi = {10.1145/240518.240607}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/FerrandiFMPS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/BarbagalloBMBFFS96, author = {Stefano Barbagallo and Monica Lobetti Bodoni and Davide Medina and Gabriel de Blasio and M. Ferloni and Franco Fummi and Donatella Sciuto}, title = {A Parametric Design of a Built-in Self-Test {FIFO} Embedded Memory}, booktitle = {1996 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 1996, Boston, MA, USA, November 6-8, 1996}, pages = {221--230}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/DFTVS.1996.572028}, doi = {10.1109/DFTVS.1996.572028}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/BarbagalloBMBFFS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/MaciiPFFS96, author = {Enrico Macii and Massimo Poncino and Fabrizio Ferrandi and Franco Fummi and Donatella Sciuto}, editor = {Graham Symonds and Wolfgang Nebel}, title = {BDD-based testability estimation of {VHDL} designs}, booktitle = {Proceedings of the conference on European design automation, {EURO-DAC} '96/EURO-VHDL '96, Geneva, Switzerland, September 16-20, 1996}, pages = {444--449}, publisher = {{IEEE} Computer Society Press}, year = {1996}, url = {https://doi.org/10.1109/EURDAC.1996.558241}, doi = {10.1109/EURDAC.1996.558241}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eurodac/MaciiPFFS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euromicro/BevacquaGF96, author = {Roberto Bevacqua and Luca Guerrazzi and Franco Fummi}, title = {{SCAN/BIST} Techniques for Decreasing Test Storage and their implications to Test Pattern Generation}, booktitle = {22rd {EUROMICRO} Conference '96, Beyond 2000: Hardware and Software Design Strategies, September 2-5, 1996, Prague, Czech Republic}, pages = {351}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/EURMIC.1996.546458}, doi = {10.1109/EURMIC.1996.546458}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/euromicro/BevacquaGF96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/FerrandiFMPS96, author = {Fabrizio Ferrandi and Franco Fummi and Enrico Macii and Massimo Poncino and Donatella Sciuto}, title = {Test Generation for Networks of Interacting FSMs Using Symbolic Techniques}, booktitle = {6th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '96), March 22-23, 1996, Ames, IA, {USA}}, pages = {208--213}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/GLSV.1996.497621}, doi = {10.1109/GLSV.1996.497621}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/FerrandiFMPS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BevacquaGFF96, author = {Roberto Bevacqua and Luca Guerrazzi and Fabrizio Ferrandi and Franco Fummi}, title = {Implicit Test Sequences Compaction for Decreasing Test Application Cos}, booktitle = {1996 International Conference on Computer Design {(ICCD} '96), {VLSI} in Computers and Processors, October 7-9, 1996, Austin, TX, USA, Proceedings}, pages = {384--382}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ICCD.1996.563583}, doi = {10.1109/ICCD.1996.563583}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BevacquaGFF96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/BuonannoFS95, author = {Giacomo Buonanno and Franco Fummi and Donatella Sciuto}, title = {{TIES:} {A} testability increase expert system for {VLSI} design}, journal = {J. Electron. Test.}, volume = {6}, number = {2}, pages = {203--217}, year = {1995}, url = {https://doi.org/10.1007/BF00993087}, doi = {10.1007/BF00993087}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/BuonannoFS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FummiSS95, author = {Franco Fummi and Donatella Sciuto and Micaela Serra}, title = {Sequential logic minimization based on functional testability}, booktitle = {1995 European Design and Test Conference, ED{\&}TC 1995, Paris, France, March 6-9, 1995}, pages = {207--211}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/EDTC.1995.470391}, doi = {10.1109/EDTC.1995.470391}, timestamp = {Fri, 20 May 2022 15:41:46 +0200}, biburl = {https://dblp.org/rec/conf/date/FummiSS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/FummiRS95, author = {Franco Fummi and U. Rovati and Donatella Sciuto}, editor = {Gerald Musgrave}, title = {Testable synthesis of high complex control devices}, booktitle = {Proceedings EURO-DAC'95, European Design Automation Conference with EURO-VHDL, Brighton, England, UK, September 18-22, 1995}, pages = {117--122}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/EURDAC.1995.527396}, doi = {10.1109/EURDAC.1995.527396}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eurodac/FummiRS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FummiSS95, author = {Franco Fummi and Donatella Sciuto and M. Serro}, title = {Synthesis for testability of large complexity controllers}, booktitle = {1995 International Conference on Computer Design {(ICCD} '95), {VLSI} in Computers and Processors, October 2-4, 1995, Austin, TX, USA, Proceedings}, pages = {180--185}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/ICCD.1995.528808}, doi = {10.1109/ICCD.1995.528808}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FummiSS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BolchiniFGS95, author = {Cristiana Bolchini and Franco Fummi and R. Gemelli and Fabio Salice}, title = {A {BDD} Based Algorithm for Detecting Difficult Faults}, booktitle = {1995 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1995, Seattle, Washington, USA, April 30 - May 3, 1995}, pages = {2015--2018}, publisher = {{IEEE}}, year = {1995}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BolchiniFGS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/FummiSS94, author = {Franco Fummi and Donatella Sciuto and Micaela Serra}, title = {Test Generation for Stuck-at and Gate-Delay Faults in Sequential Circuits: {A} Mixed Functional/Structural Method}, booktitle = {The {IEEE} International Workshop on Defect and Fault Tolerance in {VLSI} Systems, October 17-19, 1994, Montr{\'{e}}al, Quebec, Canada, Proceedings}, pages = {254--262}, publisher = {{IEEE} Computer Society}, year = {1994}, timestamp = {Mon, 03 Feb 2003 15:42:59 +0100}, biburl = {https://dblp.org/rec/conf/dft/FummiSS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/FummiSS94, author = {Franco Fummi and Donatella Sciuto and Micaela Serra}, editor = {Robert Werner}, title = {A Functional Approach to Delay Faults Test Generation for Sequential Circuits}, booktitle = {{EDAC} - The European Conference on Design Automation, {ETC} - European Test Conference, {EUROASIC} - The European Event in {ASIC} Design, Proceedings, February 28 - March 3, 1994, Paris, France}, pages = {51--57}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/EDTC.1994.326899}, doi = {10.1109/EDTC.1994.326899}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/eurodac/FummiSS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/BalboniCFS94, author = {Alessandro Balboni and Claudio Costi and Franco Fummi and Donatella Sciuto}, editor = {Robert Werner}, title = {From Behavioral Description to Systolic Array Based Architectures}, booktitle = {{EDAC} - The European Conference on Design Automation, {ETC} - European Test Conference, {EUROASIC} - The European Event in {ASIC} Design, Proceedings, February 28 - March 3, 1994, Paris, France}, pages = {657}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/EDTC.1994.326805}, doi = {10.1109/EDTC.1994.326805}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eurodac/BalboniCFS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BolchiniFS94, author = {Cristiana Bolchini and Franco Fummi and Donatella Sciuto}, title = {Two-Dimensional Sequential Array Architectures: Design for Testability Approaches}, booktitle = {1994 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1994, London, England, UK, May 30 - June 2, 1994}, pages = {81--84}, publisher = {{IEEE}}, year = {1994}, url = {https://doi.org/10.1109/ISCAS.1994.408760}, doi = {10.1109/ISCAS.1994.408760}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BolchiniFS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/BolchiniF93, author = {Cristiana Bolchini and Franco Fummi}, title = {{FSM} fault models impact on test performances}, journal = {Microprocess. Microprogramming}, volume = {38}, number = {1-5}, pages = {229--236}, year = {1993}, url = {https://doi.org/10.1016/0165-6074(93)90149-F}, doi = {10.1016/0165-6074(93)90149-F}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/BolchiniF93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/BolchiniBCCFZ93, author = {Cristiana Bolchini and Massimo Bombana and Patrizia Cavalloro and Claudio Costi and Franco Fummi and Giuseppe Zaza}, title = {A design methodology for the correct specification of {VLSI} systems}, journal = {Microprocess. Microprogramming}, volume = {38}, number = {1-5}, pages = {563--570}, year = {1993}, url = {https://doi.org/10.1016/0165-6074(93)90196-R}, doi = {10.1016/0165-6074(93)90196-R}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/BolchiniBCCFZ93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/BuonannoFS93, author = {Giacomo Buonanno and Franco Fummi and Donatella Sciuto}, editor = {Fabrizio Lombardi and Mariagiovanna Sami and Yvon Savaria and Renato Stefanelli}, title = {Fault Detection in Sequential Circuits through Functional Testing}, booktitle = {The {IEEE} International Workshop on Defect and Fault Tolerance in {VLSI} Systems, October 27-29, 1993, Venice, Italy, Proceedings}, pages = {191--198}, publisher = {{IEEE} Computer Society}, year = {1993}, timestamp = {Mon, 03 Feb 2003 15:42:56 +0100}, biburl = {https://dblp.org/rec/conf/dft/BuonannoFS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BuonannoFS93, author = {Giacomo Buonanno and Franco Fummi and Donatella Sciuto}, title = {Functional Fault Models and Gate Level Coverage for Sequential Architectures}, booktitle = {Proceedings 1993 International Conference on Computer Design: {VLSI} in Computers {\&} Processors, {ICCD} '93, Cambridge, MA, USA, October 3-6, 1993}, pages = {572--575}, publisher = {{IEEE} Computer Society}, year = {1993}, url = {https://doi.org/10.1109/ICCD.1993.393312}, doi = {10.1109/ICCD.1993.393312}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BuonannoFS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BuonannoFS93, author = {Giacomo Buonanno and Franco Fummi and Donatella Sciuto}, title = {Functional Testing and Constrained Synthesis of Sequential Architectures}, booktitle = {1993 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1993, Chicago, Illinois, USA, May 3-6, 1993}, pages = {1523--1526}, publisher = {{IEEE}}, year = {1993}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BuonannoFS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/BuonannoBFS90, author = {Giacomo Buonanno and A. Burri and Franco Fummi and Donatella Sciuto}, title = {An approach to a design for testability personal consultant}, journal = {Microprocessing and Microprogramming}, volume = {30}, number = {1-5}, pages = {405--412}, year = {1990}, url = {https://doi.org/10.1016/0165-6074(90)90275-E}, doi = {10.1016/0165-6074(90)90275-E}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/BuonannoBFS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.