BibTeX records: Xiaoya Fan

download as .bib file

@article{DBLP:journals/tgrs/LiuFWYG24,
  author       = {Shipei Liu and
                  Xiaoya Fan and
                  Guowei Wu and
                  Lin Yao and
                  Shisong Geng},
  title        = {More Modalities Mean Better: Vessel Target Recognition and Localization
                  Through Symbiotic Transformer and Multiview Regression},
  journal      = {{IEEE} Trans. Geosci. Remote. Sens.},
  volume       = {62},
  pages        = {1--12},
  year         = {2024},
  url          = {https://doi.org/10.1109/TGRS.2024.3365711},
  doi          = {10.1109/TGRS.2024.3365711},
  timestamp    = {Sat, 16 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tgrs/LiuFWYG24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/taslp/WuLF23,
  author       = {Guowei Wu and
                  Shipei Liu and
                  Xiaoya Fan},
  title        = {The Power of Fragmentation: {A} Hierarchical Transformer Model for
                  Structural Segmentation in Symbolic Music Generation},
  journal      = {{IEEE} {ACM} Trans. Audio Speech Lang. Process.},
  volume       = {31},
  pages        = {1409--1420},
  year         = {2023},
  url          = {https://doi.org/10.1109/TASLP.2023.3263797},
  doi          = {10.1109/TASLP.2023.3263797},
  timestamp    = {Sat, 29 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/taslp/WuLF23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/WangCWMZF23,
  author       = {Jihe Wang and
                  Hao Chen and
                  Danghui Wang and
                  Kuizhi Mei and
                  Shengbing Zhang and
                  Xiaoya Fan},
  title        = {A Noise-Driven Heterogeneous Stochastic Computing Multiplier for Heuristic
                  Precision Improvement in Energy-Efficient DNNs},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {42},
  number       = {2},
  pages        = {630--643},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCAD.2022.3178053},
  doi          = {10.1109/TCAD.2022.3178053},
  timestamp    = {Fri, 10 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/WangCWMZF23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/FengFALDL23,
  author       = {Kaijie Feng and
                  Xiaoya Fan and
                  Jianfeng An and
                  Chuxi Li and
                  Kaiyue Di and
                  Jiangfei Li},
  title        = {{ACDSE:} {A} Design Space Exploration Method for {CNN} Accelerator
                  based on Adaptive Compression Mechanism},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {22},
  number       = {6},
  pages        = {95:1--95:26},
  year         = {2023},
  url          = {https://doi.org/10.1145/3545177},
  doi          = {10.1145/3545177},
  timestamp    = {Sat, 13 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tecs/FengFALDL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ica3pp/FengFAWL23,
  author       = {Kaijie Feng and
                  Xiaoya Fan and
                  Jianfeng An and
                  Haoyang Wang and
                  Chuxi Li},
  editor       = {Zahir Tari and
                  Keqiu Li and
                  Hongyi Wu},
  title        = {{CSDSE:} Apply Cooperative Search to Solve the Exploration-Exploitation
                  Dilemma of Design Space Exploration},
  booktitle    = {Algorithms and Architectures for Parallel Processing - 23rd International
                  Conference, {ICA3PP} 2023, Tianjin, China, October 20-22, 2023, Proceedings,
                  Part {IV}},
  series       = {Lecture Notes in Computer Science},
  volume       = {14490},
  pages        = {1--23},
  publisher    = {Springer},
  year         = {2023},
  url          = {https://doi.org/10.1007/978-981-97-0859-8\_1},
  doi          = {10.1007/978-981-97-0859-8\_1},
  timestamp    = {Mon, 01 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ica3pp/FengFAWL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenLSZDFM23,
  author       = {Zhitong Chen and
                  Shiying Liu and
                  Quan Sun and
                  Long Zhang and
                  Lei Dong and
                  Xiaoya Fan and
                  Yanzhao Ma},
  title        = {A Wide Conversion Ratio Three-Level {DC-DC} Converter With Loop-Free
                  Self-Balancing Technique of Flying Capacitor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023,
                  Monterey, CA, USA, May 21-25, 2023},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISCAS46773.2023.10182217},
  doi          = {10.1109/ISCAS46773.2023.10182217},
  timestamp    = {Mon, 31 Jul 2023 09:04:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenLSZDFM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2305-12701,
  author       = {Shipei Liu and
                  Xiaoya Fan and
                  Guowei Wu},
  title        = {More Perspectives Mean Better: Underwater Target Recognition and Localization
                  with Multimodal Data via Symbiotic Transformer and Multiview Regression},
  journal      = {CoRR},
  volume       = {abs/2305.12701},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2305.12701},
  doi          = {10.48550/ARXIV.2305.12701},
  eprinttype    = {arXiv},
  eprint       = {2305.12701},
  timestamp    = {Fri, 26 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2305-12701.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/LiFZYWWZ22,
  author       = {Chuxi Li and
                  Xiaoya Fan and
                  Shengbing Zhang and
                  Zhao Yang and
                  Miao Wang and
                  Danghui Wang and
                  Meng Zhang},
  title        = {{DCNN} search and accelerator co-design: Improve the adaptability
                  between {NAS} frameworks and embedded platforms},
  journal      = {Integr.},
  volume       = {87},
  pages        = {147--157},
  year         = {2022},
  url          = {https://doi.org/10.1016/j.vlsi.2022.07.003},
  doi          = {10.1016/J.VLSI.2022.07.003},
  timestamp    = {Tue, 18 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/integration/LiFZYWWZ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/WangLWAF22,
  author       = {Jihe Wang and
                  Jun Liu and
                  Danghui Wang and
                  Jianfeng An and
                  Xiaoya Fan},
  title        = {An Automatic-Addressing Architecture With Fully Serialized Access
                  in Racetrack Memory for Energy-Efficient CNNs},
  journal      = {{IEEE} Trans. Computers},
  volume       = {71},
  number       = {1},
  pages        = {235--250},
  year         = {2022},
  url          = {https://doi.org/10.1109/TC.2020.3045433},
  doi          = {10.1109/TC.2020.3045433},
  timestamp    = {Sat, 25 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tc/WangLWAF22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/WangLWZF22,
  author       = {Jihe Wang and
                  Jun Liu and
                  Danghui Wang and
                  Shengbing Zhang and
                  Xiaoya Fan},
  title        = {MemUnison: {A} Racetrack-ReRAM-Combined Pipeline Architecture for
                  Energy-Efficient in-Memory CNNs},
  journal      = {{IEEE} Trans. Computers},
  volume       = {71},
  number       = {12},
  pages        = {3281--3294},
  year         = {2022},
  url          = {https://doi.org/10.1109/TC.2022.3148858},
  doi          = {10.1109/TC.2022.3148858},
  timestamp    = {Mon, 05 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tc/WangLWZF22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LiFWYWZZ22,
  author       = {Chuxi Li and
                  Xiaoya Fan and
                  Xiaoti Wu and
                  Zhao Yang and
                  Miao Wang and
                  Meng Zhang and
                  Shengbing Zhang},
  title        = {Memory-Computing Decoupling: {A} {DNN} Multitasking Accelerator With
                  Adaptive Data Arrangement},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {41},
  number       = {11},
  pages        = {4112--4123},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCAD.2022.3197493},
  doi          = {10.1109/TCAD.2022.3197493},
  timestamp    = {Sun, 13 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/LiFWYWZZ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasII/CuiSFM22,
  author       = {Kai Cui and
                  Yufei Sun and
                  Xiaoya Fan and
                  Yanzhao Ma},
  title        = {A Fully Integrated Single-Stage Wireless Power Receiver With Phase-Shift
                  {PWM} Control for High Battery-Charging Resolution},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {69},
  number       = {9},
  pages        = {3679--3683},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCSII.2022.3174213},
  doi          = {10.1109/TCSII.2022.3174213},
  timestamp    = {Thu, 22 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcasII/CuiSFM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ccci/HanWHF22,
  author       = {Yuanyuan Han and
                  Zhong Wang and
                  Xin Han and
                  Xiaoya Fan},
  editor       = {Mohammad S. Obaidat and
                  Qiang Zhang and
                  Guowei Wu and
                  Kuei{-}Fang Hsiao and
                  Petros Nicopolitidis and
                  Yu Guo},
  title        = {GaitPretreatment: Robust Pretreatment Strategy for Gait Recognition},
  booktitle    = {International Conference on Communications, Computing, Cybersecurity,
                  and Informatics, {CCCI} 2022, Dalian, China, October 17-19, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/CCCI55352.2022.9926541},
  doi          = {10.1109/CCCI55352.2022.9926541},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ccci/HanWHF22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenLCFM22,
  author       = {Zhitong Chen and
                  Shiying Liu and
                  Yong Chen and
                  Xiaoya Fan and
                  Yanzhao Ma},
  title        = {A Current-Injection-Based Flying Capacitor Balancing Circuit for Three-Level
                  {DC-DC} Converter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2022,
                  Austin, TX, USA, May 27 - June 1, 2022},
  pages        = {2630--2634},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISCAS48785.2022.9938016},
  doi          = {10.1109/ISCAS48785.2022.9938016},
  timestamp    = {Thu, 17 Nov 2022 15:59:17 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenLCFM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaCCXZF22,
  author       = {Yanzhao Ma and
                  Zhitong Chen and
                  Xiaoxu Chen and
                  Xue Xia and
                  Long Zhang and
                  Xiaoya Fan},
  title        = {A High-Voltage Inverting Converter Based on {COT} Controlled Buck
                  Regulator with On-Chip Ripple Compensation Technique},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2022,
                  Austin, TX, USA, May 27 - June 1, 2022},
  pages        = {2909--2913},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISCAS48785.2022.9937982},
  doi          = {10.1109/ISCAS48785.2022.9937982},
  timestamp    = {Thu, 17 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MaCCXZF22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/0006SFM22,
  author       = {Kai Cui and
                  Yufei Sun and
                  Xiaoya Fan and
                  Yanzhao Ma},
  title        = {A 6.78MHz Regulating Rectifier With Constant On-Time Control for High
                  Resolution and Ultra-Fast Transient Response},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2022,
                  Austin, TX, USA, May 27 - June 1, 2022},
  pages        = {2914--2918},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISCAS48785.2022.9937965},
  doi          = {10.1109/ISCAS48785.2022.9937965},
  timestamp    = {Thu, 17 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/0006SFM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2205-08579,
  author       = {Guowei Wu and
                  Shipei Liu and
                  Xiaoya Fan},
  title        = {The Power of Reuse: {A} Multi-Scale Transformer Model for Structural
                  Dynamic Segmentation in Symbolic Music Generation},
  journal      = {CoRR},
  volume       = {abs/2205.08579},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2205.08579},
  doi          = {10.48550/ARXIV.2205.08579},
  eprinttype    = {arXiv},
  eprint       = {2205.08579},
  timestamp    = {Mon, 23 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2205-08579.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cgx/FengFAWDLLL21,
  author       = {Kaijie Feng and
                  Xiaoya Fan and
                  Jianfeng An and
                  Xiping Wang and
                  Kaiyue Di and
                  Jiangfei Li and
                  Minghao Lu and
                  Chuxi Li},
  title        = {{ERDSE:} efficient reinforcement learning based design space exploration
                  method for {CNN} accelerator on resource limited platform},
  journal      = {Graph. Vis. Comput.},
  volume       = {4},
  pages        = {200024},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.gvc.2021.200024},
  doi          = {10.1016/J.GVC.2021.200024},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cgx/FengFAWDLLL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jsa/WangFZZYDW21,
  author       = {Miao Wang and
                  Xiaoya Fan and
                  Wei Zhang and
                  Ting Zhu and
                  Tengteng Yao and
                  Hui Ding and
                  Danghui Wang},
  title        = {Balancing memory-accessing and computing over sparse {DNN} accelerator
                  via efficient data packaging},
  journal      = {J. Syst. Archit.},
  volume       = {117},
  pages        = {102094},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.sysarc.2021.102094},
  doi          = {10.1016/J.SYSARC.2021.102094},
  timestamp    = {Fri, 11 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jsa/WangFZZYDW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ploscb/ZhaoZFYMY21,
  author       = {Qi Zhao and
                  Zheng Zhao and
                  Xiaoya Fan and
                  Zhengwei Yuan and
                  Qian Mao and
                  Yudong Yao},
  title        = {Review of machine learning methods for {RNA} secondary structure prediction},
  journal      = {PLoS Comput. Biol.},
  volume       = {17},
  number       = {8},
  year         = {2021},
  url          = {https://doi.org/10.1371/journal.pcbi.1009291},
  doi          = {10.1371/JOURNAL.PCBI.1009291},
  timestamp    = {Thu, 09 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ploscb/ZhaoZFYMY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LiFZYWWZ21,
  author       = {Chuxi Li and
                  Xiaoya Fan and
                  Shengbing Zhang and
                  Zhao Yang and
                  Miao Wang and
                  Danghui Wang and
                  Meng Zhang},
  title        = {Hardware-Aware {NAS} Framework with Layer Adaptive Scheduling on Embedded
                  System},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {798--805},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431536},
  doi          = {10.1145/3394885.3431536},
  timestamp    = {Mon, 03 May 2021 16:42:27 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LiFZYWWZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaYLCCF21,
  author       = {Yanzhao Ma and
                  Zhengjie Ye and
                  Fei Li and
                  Zhitong Chen and
                  Kai Cui and
                  Xiaoya Fan},
  title        = {A Fully-Integrated Reference-Free Relaxation Oscillator with No Comparators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021,
                  Daegu, South Korea, May 22-28, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISCAS51556.2021.9401349},
  doi          = {10.1109/ISCAS51556.2021.9401349},
  timestamp    = {Thu, 18 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaYLCCF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispa/WangCWMZF21,
  author       = {Jihe Wang and
                  Hao Chen and
                  Danghui Wang and
                  Kuizhi Mei and
                  Shengbing Zhang and
                  Xiaoya Fan},
  title        = {A Heterogeneous Stochastic Computing Multiplier for Universally Accurate
                  and Energy-Efficient DNNs},
  booktitle    = {2021 {IEEE} Intl Conf on Parallel {\&} Distributed Processing
                  with Applications, Big Data {\&} Cloud Computing, Sustainable
                  Computing {\&} Communications, Social Computing {\&} Networking
                  (ISPA/BDCloud/SocialCom/SustainCom), New York City, NY, USA, September
                  30 - Oct. 3, 2021},
  pages        = {547--554},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISPA-BDCloud-SocialCom-SustainCom52081.2021.00081},
  doi          = {10.1109/ISPA-BDCLOUD-SOCIALCOM-SUSTAINCOM52081.2021.00081},
  timestamp    = {Thu, 06 Jan 2022 09:48:16 +0100},
  biburl       = {https://dblp.org/rec/conf/ispa/WangCWMZF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispa/WangZWCFF21,
  author       = {Shiyu Wang and
                  Shengbing Zhang and
                  Jihe Wang and
                  Libo Chang and
                  Liangyou Feng and
                  Xiaoya Fan},
  title        = {Hardware architecture design of {HEVC} entropy decoding},
  booktitle    = {2021 {IEEE} Intl Conf on Parallel {\&} Distributed Processing
                  with Applications, Big Data {\&} Cloud Computing, Sustainable
                  Computing {\&} Communications, Social Computing {\&} Networking
                  (ISPA/BDCloud/SocialCom/SustainCom), New York City, NY, USA, September
                  30 - Oct. 3, 2021},
  pages        = {1143--1150},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISPA-BDCloud-SocialCom-SustainCom52081.2021.00157},
  doi          = {10.1109/ISPA-BDCLOUD-SOCIALCOM-SUSTAINCOM52081.2021.00157},
  timestamp    = {Thu, 06 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispa/WangZWCFF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/HaoFDQLLCGZ20,
  author       = {Chenru Hao and
                  Xiaoya Fan and
                  Chunnan Dong and
                  Lihua Qiao and
                  Xinwei Li and
                  Xiuyuan Li and
                  Li Cheng and
                  Lisha Guo and
                  Ruibin Zhao},
  title        = {A Classification Method for Unrecognized Spatial Disorientation Based
                  on Perceptual Process},
  journal      = {{IEEE} Access},
  volume       = {8},
  pages        = {140654--140660},
  year         = {2020},
  url          = {https://doi.org/10.1109/ACCESS.2020.3012821},
  doi          = {10.1109/ACCESS.2020.3012821},
  timestamp    = {Wed, 26 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/access/HaoFDQLLCGZ20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ieiceee/SunMCFYF20,
  author       = {Yufei Sun and
                  Yanzhao Ma and
                  Kai Cui and
                  Song Fang and
                  Yue Yin and
                  Xiaoya Fan},
  title        = {An accurate average inductor current limit method for peak current
                  mode buck {DC-DC} converters},
  journal      = {{IEICE} Electron. Express},
  volume       = {17},
  number       = {6},
  pages        = {20200047},
  year         = {2020},
  url          = {https://doi.org/10.1587/elex.17.20200047},
  doi          = {10.1587/ELEX.17.20200047},
  timestamp    = {Thu, 18 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ieiceee/SunMCFYF20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijon/LiFGYWZ20,
  author       = {Chuxi Li and
                  Xiaoya Fan and
                  Yuling Geng and
                  Zhao Yang and
                  Danghui Wang and
                  Meng Zhang},
  title        = {{ENAS} oriented layer adaptive data scheduling strategy for resource
                  limited hardware},
  journal      = {Neurocomputing},
  volume       = {381},
  pages        = {29--39},
  year         = {2020},
  url          = {https://doi.org/10.1016/j.neucom.2019.11.005},
  doi          = {10.1016/J.NEUCOM.2019.11.005},
  timestamp    = {Fri, 07 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ijon/LiFGYWZ20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2009-08868,
  author       = {Qi Zhao and
                  Zheng Zhao and
                  Xiaoya Fan and
                  Zhengwei Yuan and
                  Qian Mao and
                  Yudong Yao},
  title        = {Review of Machine-Learning Methods for {RNA} Secondary Structure Prediction},
  journal      = {CoRR},
  volume       = {abs/2009.08868},
  year         = {2020},
  url          = {https://arxiv.org/abs/2009.08868},
  eprinttype    = {arXiv},
  eprint       = {2009.08868},
  timestamp    = {Wed, 23 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2009-08868.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cbm/FanGLLEN19,
  author       = {Xiaoya Fan and
                  Nicolas Gaspard and
                  Benjamin Legros and
                  Federico Lucchetti and
                  Rudy Ercek and
                  Antoine Nonclercq},
  title        = {Automated epileptic seizure detection based on break of excitation/inhibition
                  balance},
  journal      = {Comput. Biol. Medicine},
  volume       = {107},
  pages        = {30--38},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.compbiomed.2019.02.005},
  doi          = {10.1016/J.COMPBIOMED.2019.02.005},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cbm/FanGLLEN19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/SunMCF19,
  author       = {Yufei Sun and
                  Yanzhao Ma and
                  Kai Cui and
                  Xiaoya Fan},
  title        = {A Low-Power Comparator-Less Relaxation Oscillator},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983475},
  doi          = {10.1109/ASICON47005.2019.8983475},
  timestamp    = {Thu, 18 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/SunMCF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/MaCYFF18,
  author       = {Yanzhao Ma and
                  Kai Cui and
                  Zhengjie Ye and
                  Song Fang and
                  Xiaoya Fan},
  title        = {A {ZVS} Active Rectifier with Adaptive On/Off Delay Compensation for
                  {WPT} Systems},
  booktitle    = {2018 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2018, Chengdu, China, October 26-30, 2018},
  pages        = {167--170},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/APCCAS.2018.8605681},
  doi          = {10.1109/APCCAS.2018.8605681},
  timestamp    = {Thu, 18 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/MaCYFF18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jcsc/MaZZF17,
  author       = {Yanzhao Ma and
                  Yinghui Zou and
                  Shengbing Zhang and
                  Xiaoya Fan},
  title        = {A 50 mV Fully-Integrated Self-Startup Circuit for Thermal Energy Harvesting},
  journal      = {J. Circuits Syst. Comput.},
  volume       = {26},
  number       = {12},
  pages        = {1750196:1--1750196:12},
  year         = {2017},
  url          = {https://doi.org/10.1142/S0218126617501961},
  doi          = {10.1142/S0218126617501961},
  timestamp    = {Tue, 25 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jcsc/MaZZF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ieicet/MaWZFZ15,
  author       = {Yanzhao Ma and
                  Shaoxi Wang and
                  Shengbing Zhang and
                  Xiaoya Fan and
                  Ran Zheng},
  title        = {A Current Mode Buck/Boost {DC-DC} Converter With Automatic Mode Transition
                  and Light Load Efficiency Enhancement},
  journal      = {{IEICE} Trans. Electron.},
  volume       = {98-C},
  number       = {6},
  pages        = {496--503},
  year         = {2015},
  url          = {https://doi.org/10.1587/transele.E98.C.496},
  doi          = {10.1587/TRANSELE.E98.C.496},
  timestamp    = {Sat, 11 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ieicet/MaWZFZ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jcsc/MaWZF14,
  author       = {Yanzhao Ma and
                  Shaoxi Wang and
                  Shengbing Zhang and
                  Xiaoya Fan},
  title        = {A High Efficiency Adaptive Current mode Step-up/Step-Down {DC-DC}
                  Converter with Four Modes for Smooth Transition},
  journal      = {J. Circuits Syst. Comput.},
  volume       = {23},
  number       = {7},
  year         = {2014},
  url          = {https://doi.org/10.1142/S0218126614500972},
  doi          = {10.1142/S0218126614500972},
  timestamp    = {Tue, 25 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jcsc/MaWZF14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/YaoGFN13,
  author       = {Tao Yao and
                  Deyuan Gao and
                  Xiaoya Fan and
                  Jari Nurmi},
  title        = {Correctly rounded architectures for Floating-Point multi-operand addition
                  and dot-product computation},
  booktitle    = {24th International Conference on Application-Specific Systems, Architectures
                  and Processors, {ASAP} 2013, Washington, DC, USA, June 5-7, 2013},
  pages        = {346--355},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/ASAP.2013.6567600},
  doi          = {10.1109/ASAP.2013.6567600},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/YaoGFN13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/MaWZF13,
  author       = {Yanzhao Ma and
                  Shaoxi Wang and
                  Shengbing Zhang and
                  Xiaoya Fan},
  title        = {An automatic peak-valley current mode step-up/step-down {DC-DC} converter
                  with smooth transition},
  booktitle    = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen,
                  China, October 28-31, 2013},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ASICON.2013.6812054},
  doi          = {10.1109/ASICON.2013.6812054},
  timestamp    = {Wed, 16 Oct 2019 14:14:56 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/MaWZF13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/IEEEcit/YaoGFR12,
  author       = {Tao Yao and
                  Deyuan Gao and
                  Xiaoya Fan and
                  Xianglong Ren},
  title        = {Three-Operand Floating-Point Adder},
  booktitle    = {12th {IEEE} International Conference on Computer and Information Technology,
                  {CIT} 2012, Chengdu, Sichuan, China, October 27-29, 2012},
  pages        = {192--196},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/CIT.2012.58},
  doi          = {10.1109/CIT.2012.58},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/IEEEcit/YaoGFR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/IEEEcit/RenGFAY12,
  author       = {Xianglong Ren and
                  Deyuan Gao and
                  Xiaoya Fan and
                  Jianfeng An and
                  Tao Yao},
  title        = {Modeling and Performance Analysis of Network on Chip Based on Improved
                  Asymmetric Multi-channel Router},
  booktitle    = {12th {IEEE} International Conference on Computer and Information Technology,
                  {CIT} 2012, Chengdu, Sichuan, China, October 27-29, 2012},
  pages        = {700--705},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/CIT.2012.146},
  doi          = {10.1109/CIT.2012.146},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/IEEEcit/RenGFAY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fskd/MaFZS12,
  author       = {Shiyu Ma and
                  Xiaoya Fan and
                  Meng Zhang and
                  Lichao Sun},
  title        = {An adaptive vector parallel supported transcendental function unit
                  for stream processor},
  booktitle    = {9th International Conference on Fuzzy Systems and Knowledge Discovery,
                  {FSKD} 2012, 29-31 May 2012, Chongqing, China},
  pages        = {1140--1144},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/FSKD.2012.6234026},
  doi          = {10.1109/FSKD.2012.6234026},
  timestamp    = {Wed, 16 Oct 2019 14:14:57 +0200},
  biburl       = {https://dblp.org/rec/conf/fskd/MaFZS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icdma/WangWZF12,
  author       = {Mingxin Wang and
                  Shaoxi Wang and
                  Shengbing Zhang and
                  Xiaoya Fan},
  title        = {Multivariate Process Capability Index with Spatial Coefficient Modification},
  booktitle    = {Third International Conference on Digital Manufacturing {\&} Automation,
                  {ICDMA} 2012, Guilin, China, July 31 - Aug. 2, 2012},
  pages        = {550--552},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICDMA.2012.130},
  doi          = {10.1109/ICDMA.2012.130},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icdma/WangWZF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangFZJ12,
  author       = {Shaoxi Wang and
                  Xiaoya Fan and
                  Shengbing Zhang and
                  Ming{-}e Jing},
  title        = {Analog layout retargeting with geometric programming and constrains
                  symbolization method},
  booktitle    = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS}
                  2012, Seoul, Korea (South), May 20-23, 2012},
  pages        = {353--356},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISCAS.2012.6272034},
  doi          = {10.1109/ISCAS.2012.6272034},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangFZJ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/HuangFZC12,
  author       = {Xiaoping Huang and
                  Xiaoya Fan and
                  Shengbing Zhang and
                  Yuhui Chen},
  title        = {DLWAP-buffer: {A} Novel {HW/SW} Architecture to Alleviate the Cache
                  Coherence on Streaming-like Data in {CMP}},
  booktitle    = {{IEEE} 6th International Symposium on Embedded Multicore/Manycore
                  SoCs, MCSoC 2012, Fukushima, Japan, September 20-22, 2012},
  pages        = {23--28},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/MCSoC.2012.19},
  doi          = {10.1109/MCSOC.2012.19},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/HuangFZC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cis/HanGFSA11,
  author       = {Limin Han and
                  Deyuan Gao and
                  Xiaoya Fan and
                  Liwen Shi and
                  Jianfeng An},
  editor       = {Yuping Wang and
                  Yiu{-}ming Cheung and
                  Ping Guo and
                  Yingbin Wei},
  title        = {Global Prefetcher Aggressiveness Control for Chip-Multiprocessor},
  booktitle    = {Seventh International Conference on Computational Intelligence and
                  Security, {CIS} 2011, Sanya, Hainan, China, December 3-4, 2011},
  pages        = {273--277},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/CIS.2011.68},
  doi          = {10.1109/CIS.2011.68},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cis/HanGFSA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icess/ShiFCHT09,
  author       = {Liwen Shi and
                  Xiaoya Fan and
                  Jie Chen and
                  Xiaoping Huang and
                  Hangpei Tian},
  editor       = {Tianzhou Chen and
                  Dimitrios N. Serpanos and
                  Walid Taha},
  title        = {Tolerating Memory Latency Using a Hardware-Based Active-Pushing Technique},
  booktitle    = {International Conference on Embedded Software and Systems, {ICESS}
                  '09, Hangzhou, Zhejiang, P. R. China, May 25-27, 2009},
  pages        = {407--411},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ICESS.2009.65},
  doi          = {10.1109/ICESS.2009.65},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icess/ShiFCHT09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/HangpeiGWFY08,
  author       = {Hangpei Tian and
                  Deyuan Gao and
                  Wu Wei and
                  Xiaoya Fan and
                  Yian Zhu},
  editor       = {Kenneth L. Pocek and
                  Duncan A. Buell},
  title        = {Improving Performance of Partial Reconfiguration Using Strategy of
                  Virtual Deletion},
  booktitle    = {16th {IEEE} International Symposium on Field-Programmable Custom Computing
                  Machines, {FCCM} 2008, 14-15 April 2008, Stanford, Palo Alto, California,
                  {USA}},
  pages        = {263--264},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/FCCM.2008.51},
  doi          = {10.1109/FCCM.2008.51},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/HangpeiGWFY08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aPcsac/PanFHW07,
  author       = {Yongfeng Pan and
                  Xiaoya Fan and
                  Liqiang He and
                  Deli Wang},
  editor       = {Lynn Choi and
                  Yunheung Paek and
                  Sangyeun Cho},
  title        = {A Bypass Mechanism to Enhance Branch Predictor for {SMT} Processors},
  booktitle    = {Advances in Computer Systems Architecture, 12th Asia-Pacific Conference,
                  {ACSAC} 2007, Seoul, Korea, August 23-25, 2007, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4697},
  pages        = {364--375},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-74309-5\_34},
  doi          = {10.1007/978-3-540-74309-5\_34},
  timestamp    = {Tue, 14 May 2019 10:00:42 +0200},
  biburl       = {https://dblp.org/rec/conf/aPcsac/PanFHW07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/appt/ChenF07,
  author       = {Fulong Chen and
                  Xiaoya Fan},
  editor       = {Ming Xu and
                  Yinwei Zhan and
                  Jiannong Cao and
                  Yijun Liu},
  title        = {Embedded System's Performance Analysis with {RTC} and {QT}},
  booktitle    = {Advanced Parallel Processing Technologies, 7th International Symposium,
                  {APPT} 2007, Guangzhou, China, November 22-23, 2007, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4847},
  pages        = {569--579},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-76837-1\_62},
  doi          = {10.1007/978-3-540-76837-1\_62},
  timestamp    = {Tue, 14 Apr 2020 13:23:11 +0200},
  biburl       = {https://dblp.org/rec/conf/appt/ChenF07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cadgraphics/WuWFC07,
  author       = {Wei Wu and
                  Tingcun Wei and
                  Xiaoya Fan and
                  Fulong Chen},
  title        = {{DAC} Circuit with Multi-threshold Voltage for {TFT-LCD} Driver {IC}},
  booktitle    = {10th International Conference on Computer-Aided Design and Computer
                  Graphics, CAD/Graphics 2007, Beijing, China, 15-18 October, 2007},
  pages        = {304--308},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/CADCG.2007.4407899},
  doi          = {10.1109/CADCG.2007.4407899},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/cadgraphics/WuWFC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itng/AnFZWW06,
  author       = {Jianfeng An and
                  Xiaoya Fan and
                  Shengbing Zhang and
                  Danghui Wang and
                  Yi Wang},
  title        = {{VMSIM:} Virtual Machine Based a Full System Simulation Platform for
                  Microprocessors' Functional Verification},
  booktitle    = {Third International Conference on Information Technology: New Generations
                  {(ITNG} 2006), 10-12 April 2006, Las Vegas, Nevada, {USA}},
  pages        = {245--249},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ITNG.2006.139},
  doi          = {10.1109/ITNG.2006.139},
  timestamp    = {Fri, 22 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itng/AnFZWW06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icess/JingFGH05,
  author       = {Yuanli Jing and
                  Xiaoya Fan and
                  Deyuan Gao and
                  Jian Hu},
  editor       = {Laurence Tianruo Yang and
                  Xingshe Zhou and
                  Wei Zhao and
                  Zhaohui Wu and
                  Yian Zhu and
                  Man Lin},
  title        = {Network on Chip for Parallel {DSP} Architectures},
  booktitle    = {Embedded Software and Systems, Second International Conference, {ICESS}
                  2005, Xi'an, China, December 16-18, 2005, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {3820},
  pages        = {265--274},
  publisher    = {Springer},
  year         = {2005},
  url          = {https://doi.org/10.1007/11599555\_27},
  doi          = {10.1007/11599555\_27},
  timestamp    = {Fri, 09 Apr 2021 18:39:46 +0200},
  biburl       = {https://dblp.org/rec/conf/icess/JingFGH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icess/WangFGZA05,
  author       = {Danghui Wang and
                  Xiaoya Fan and
                  Deyuan Gao and
                  Shengbing Zhang and
                  Jianfeng An},
  editor       = {Laurence Tianruo Yang and
                  Xingshe Zhou and
                  Wei Zhao and
                  Zhaohui Wu and
                  Yian Zhu and
                  Man Lin},
  title        = {Microprocessor Based Self Schedule and Parallel {BIST} for System-On-a-Chip},
  booktitle    = {Embedded Software and Systems, Second International Conference, {ICESS}
                  2005, Xi'an, China, December 16-18, 2005, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {3820},
  pages        = {299--309},
  publisher    = {Springer},
  year         = {2005},
  url          = {https://doi.org/10.1007/11599555\_30},
  doi          = {10.1007/11599555\_30},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icess/WangFGZA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icess/AnFZW04,
  author       = {Jianfeng An and
                  Xiaoya Fan and
                  Shengbing Zhang and
                  Danghui Wang},
  editor       = {Zhaohui Wu and
                  Chun Chen and
                  Minyi Guo and
                  Jiajun Bu},
  title        = {An Efficient Verification Method for Microprocessors Based on the
                  Virtual Machine},
  booktitle    = {Embedded Software and Systems, First International Conference, {ICESS}
                  2004, Hangzhou, China, December 9-10, 2004, Revised Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {3605},
  pages        = {514--521},
  publisher    = {Springer},
  year         = {2004},
  url          = {https://doi.org/10.1007/11535409\_75},
  doi          = {10.1007/11535409\_75},
  timestamp    = {Mon, 22 Jul 2019 15:00:50 +0200},
  biburl       = {https://dblp.org/rec/conf/icess/AnFZW04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics