default search action
BibTeX records: José Duato
@article{DBLP:journals/fgcs/RocherGonzalezEGQD24, author = {Jose Rocher{-}Gonzalez and Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a and Francisco J. Quiles and Jos{\'{e}} Duato}, title = {A smart and novel approach for managing incast and in-network congestion through adaptive routing}, journal = {Future Gener. Comput. Syst.}, volume = {159}, pages = {27--38}, year = {2024}, url = {https://doi.org/10.1016/j.future.2024.04.041}, doi = {10.1016/J.FUTURE.2024.04.041}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/RocherGonzalezEGQD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computing/CastelloCDQD23, author = {Adri{\'{a}}n Castell{\'{o}} and Mar Catal{\'{a}}n and Manuel F. Dolz and Enrique S. Quintana{-}Ort{\'{\i}} and Jos{\'{e}} Duato}, title = {Analyzing the impact of the {MPI} allreduce in distributed training of convolutional neural networks}, journal = {Computing}, volume = {105}, number = {5}, pages = {1101--1119}, year = {2023}, url = {https://doi.org/10.1007/s00607-021-01029-2}, doi = {10.1007/S00607-021-01029-2}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computing/CastelloCDQD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-09971, author = {Jos{\'{e}} Duato and Jos{\'{e}} I. Mestre and Manuel F. Dolz and Enrique S. Quintana{-}Ort{\'{\i}}}, title = {GreenLightningAI: An Efficient {AI} System with Decoupled Structural and Quantitative Knowledge}, journal = {CoRR}, volume = {abs/2312.09971}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.09971}, doi = {10.48550/ARXIV.2312.09971}, eprinttype = {arXiv}, eprint = {2312.09971}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-09971.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/CastelloQD21, author = {Adri{\'{a}}n Castell{\'{o}} and Enrique S. Quintana{-}Ort{\'{\i}} and Jos{\'{e}} Duato}, title = {Accelerating distributed deep neural network training with pipelined {MPI} allreduce}, journal = {Clust. Comput.}, volume = {24}, number = {4}, pages = {3797--3813}, year = {2021}, url = {https://doi.org/10.1007/s10586-021-03370-9}, doi = {10.1007/S10586-021-03370-9}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/CastelloQD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/OlmedillaEGASQS21, author = {Cristina Olmedilla and Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a and Francisco J. Alfaro{-}Cort{\'{e}}s and Jos{\'{e}} L. S{\'{a}}nchez and Francisco J. Quiles and Wenhao Sun and Xiang Yu and Yonghui Xu and Jos{\'{e}} Duato}, title = {DVL-Lossy: Isolating Congesting Flows to Optimize Packet Dropping in Lossy Data-Center Networks}, journal = {{IEEE} Micro}, volume = {41}, number = {1}, pages = {37--44}, year = {2021}, url = {https://doi.org/10.1109/MM.2020.3042263}, doi = {10.1109/MM.2020.3042263}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/OlmedillaEGASQS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/PicornellFHD21, author = {Tom{\'{a}}s Picornell and Jos{\'{e}} Flich and Carles Hern{\'{a}}ndez and Jos{\'{e}} Duato}, title = {Enforcing Predictability of Many-Cores With DCFNoC}, journal = {{IEEE} Trans. Computers}, volume = {70}, number = {2}, pages = {270--283}, year = {2021}, url = {https://doi.org/10.1109/TC.2020.2987797}, doi = {10.1109/TC.2020.2987797}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/PicornellFHD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/CrespoSAFD21, author = {Juan{-}Jos{\'{e}} Crespo and Jos{\'{e}} L. S{\'{a}}nchez and Francisco J. Alfaro{-}Cort{\'{e}}s and Jos{\'{e}} Flich and Jos{\'{e}} Duato}, title = {{UPR:} deadlock-free dynamic network reconfiguration by exploiting channel dependency graph compatibility}, journal = {J. Supercomput.}, volume = {77}, number = {11}, pages = {12826--12856}, year = {2021}, url = {https://doi.org/10.1007/s11227-021-03791-8}, doi = {10.1007/S11227-021-03791-8}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/CrespoSAFD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/CastelloCDMQD21, author = {Adri{\'{a}}n Castell{\'{o}} and Mar Catal{\'{a}}n and Manuel F. Dolz and Jos{\'{e}} I. Mestre and Enrique S. Quintana{-}Ort{\'{\i}} and Jos{\'{e}} Duato}, title = {Performance Modeling for Distributed Training of Convolutional Neural Networks}, booktitle = {29th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, {PDP} 2021, Valladolid, Spain, March 10-12, 2021}, pages = {99--108}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/PDP52278.2021.00024}, doi = {10.1109/PDP52278.2021.00024}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/CastelloCDMQD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/CastelloCDMQD21a, author = {Adri{\'{a}}n Castell{\'{o}} and Mar Catal{\'{a}}n and Manuel F. Dolz and Jos{\'{e}} I. Mestre and Enrique S. Quintana{-}Ort{\'{\i}} and Jos{\'{e}} Duato}, title = {Evaluation of {MPI} Allreduce for Distributed Training of Convolutional Neural Networks}, booktitle = {29th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, {PDP} 2021, Valladolid, Spain, March 10-12, 2021}, pages = {109--116}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/PDP52278.2021.00025}, doi = {10.1109/PDP52278.2021.00025}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/CastelloCDMQD21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/PicornellFDH20, author = {Tom{\'{a}}s Picornell and Jos{\'{e}} Flich and Jos{\'{e}} Duato and Carles Hern{\'{a}}ndez}, title = {HP-DCFNoC: High Performance Distributed Dynamic {TDM} Scheduler Based on DCFNoC Theory}, journal = {{IEEE} Access}, volume = {8}, pages = {194836--194849}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3033853}, doi = {10.1109/ACCESS.2020.3033853}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/PicornellFDH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/MatheyEG0D20, author = {German {Maglione Mathey} and Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a and Francisco J. Quiles and Jos{\'{e}} Duato}, title = {Path2SL: Leveraging InfiniBand Resources to Reduce Head-of-Line Blocking in Fat Trees}, journal = {{IEEE} Micro}, volume = {40}, number = {1}, pages = {8--14}, year = {2020}, url = {https://doi.org/10.1109/MM.2019.2949280}, doi = {10.1109/MM.2019.2949280}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/MatheyEG0D20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hoti/OlmedillaEGA00S20, author = {Cristina Olmedilla and Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a and Francisco J. Alfaro{-}Cort{\'{e}}s and Francisco J. Quiles and Jos{\'{e}} L. S{\'{a}}nchez and Wenhao Sun and Xiang Yu and Yonghui Xu and Jos{\'{e}} Duato}, title = {Optimizing Packet Dropping by Efficient Congesting-Flow Isolation in Lossy Data-Center Networks}, booktitle = {{IEEE} Symposium on High-Performance Interconnects, {HOTI} 2020, Piscataway, NJ, USA, August 19-21, 2020}, pages = {47--54}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HOTI51249.2020.00022}, doi = {10.1109/HOTI51249.2020.00022}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hoti/OlmedillaEGA00S20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LeiDLD20, author = {Fei Lei and Dezun Dong and Xiangke Liao and Jos{\'{e}} Duato}, editor = {Eduard Ayguad{\'{e}} and Wen{-}mei W. Hwu and Rosa M. Badia and H. Peter Hofstee}, title = {Bundlefly: a low-diameter topology for multicore fiber}, booktitle = {{ICS} '20: 2020 International Conference on Supercomputing, Barcelona Spain, June, 2020}, pages = {20:1--20:11}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3392717.3392747}, doi = {10.1145/3392717.3392747}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LeiDLD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-02332, author = {Juan{-}Jos{\'{e}} Crespo and Jos{\'{e}} L. S{\'{a}}nchez and Francisco J. Alfaro{-}Cortes and Jos{\'{e}} Flich and Jos{\'{e}} Duato}, title = {{UPR:} Deadlock-Free Dynamic Network Reconfiguration by Exploiting Channel Dependency Graph Compatibility}, journal = {CoRR}, volume = {abs/2006.02332}, year = {2020}, url = {https://arxiv.org/abs/2006.02332}, eprinttype = {arXiv}, eprint = {2006.02332}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-02332.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/AndujarVSADF19, author = {Francisco J. Andujar and Juan A. Villar and Jos{\'{e}} L. S{\'{a}}nchez and Francisco J. Alfaro and Jos{\'{e}} Duato and Holger Fr{\"{o}}ning}, title = {Constructing virtual 5-dimensional tori out of lower-dimensional network cards}, journal = {Concurr. Comput. Pract. Exp.}, volume = {31}, number = {2}, year = {2019}, url = {https://doi.org/10.1002/cpe.4361}, doi = {10.1002/CPE.4361}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/AndujarVSADF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/YebenesREGAQRD19, author = {Pedro Y{\'{e}}benes and Jose Rocher{-}Gonzalez and Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a and Francisco J. Alfaro and Francisco J. Quiles and Crisp{\'{\i}}n G{\'{o}}mez Requena and Jos{\'{e}} Duato}, title = {Combining Source-adaptive and Oblivious Routing with Congestion Control in High-performance Interconnects using Hybrid and Direct Topologies}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {16}, number = {2}, pages = {17:1--17:26}, year = {2019}, url = {https://doi.org/10.1145/3319805}, doi = {10.1145/3319805}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/YebenesREGAQRD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccgrid/CastelloDQD19, author = {Adri{\'{a}}n Castell{\'{o}} and Manuel F. Dolz and Enrique S. Quintana{-}Ort{\'{\i}} and Jos{\'{e}} Duato}, title = {Theoretical Scalability Analysis of Distributed Deep Convolutional Neural Networks}, booktitle = {19th {IEEE/ACM} International Symposium on Cluster, Cloud and Grid Computing, {CCGRID} 2019, Larnaca, Cyprus, May 14-17, 2019}, pages = {534--541}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CCGRID.2019.00068}, doi = {10.1109/CCGRID.2019.00068}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccgrid/CastelloDQD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PicornellFHD19, author = {Tom{\'{a}}s Picornell and Jos{\'{e}} Flich and Carles Hern{\'{a}}ndez and Jos{\'{e}} Duato}, title = {DCFNoC: {A} Delayed Conflict-Free Time Division Multiplexing Network on Chip}, booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, pages = {95}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316781.3317794}, doi = {10.1145/3316781.3317794}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PicornellFHD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hoti/MatheySGFD19, author = {German {Maglione Mathey} and Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a and Francisco Jos{\'{e}} Quiles Flor and Jos{\'{e}} Duato}, title = {Path2SL: Optimizing Head-of-Line Blocking Reduction in InfiniBand-Based Fat-Tree Networks}, booktitle = {2019 {IEEE} Symposium on High-Performance Interconnects, {HOTI} 2019, Santa Clara, CA, USA, August 14-16, 2019}, pages = {5--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HOTI.2019.00014}, doi = {10.1109/HOTI.2019.00014}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hoti/MatheySGFD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/Gonzalez-Naharro19, author = {Luis Gonzalez{-}Naharro and Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a and Francisco J. Quiles and Jos{\'{e}} Duato and Wenhao Sun and Xiang Yu and Hewen Zheng}, title = {Modeling Traffic Workloads in Data-center Network Simulation Tools}, booktitle = {17th International Conference on High Performance Computing {\&} Simulation, {HPCS} 2019, Dublin, Ireland, July 15-19, 2019}, pages = {1036--1042}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HPCS48598.2019.9188099}, doi = {10.1109/HPCS48598.2019.9188099}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeehpcs/Gonzalez-Naharro19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pvm/CastelloDQD19, author = {Adri{\'{a}}n Castell{\'{o}} and Manuel F. Dolz and Enrique S. Quintana{-}Ort{\'{\i}} and Jos{\'{e}} Duato}, editor = {Torsten Hoefler and Jesper Larsson Tr{\"{a}}ff}, title = {Analysis of model parallelism for distributed neural networks}, booktitle = {Proceedings of the 26th European {MPI} Users' Group Meeting, EuroMPI 2019, Z{\"{u}}rich, Switzerland, September 11-13, 2019}, pages = {7:1--7:10}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3343211.3343218}, doi = {10.1145/3343211.3343218}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pvm/CastelloDQD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/Gonzalez-Naharro19, author = {Luis Gonzalez{-}Naharro and Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a and Francisco Jos{\'{e}} Quiles Flor and Jos{\'{e}} Duato and Wenhao Sun and Li Shen and Xiang Yu and Hewen Zheng}, title = {Efficient Dynamic Isolation of Congestion in Lossless DataCenter Networks}, booktitle = {Proceedings of the {ACM} {SIGCOMM} 2019 Workshop on Networking for Emerging Applications and Technologies, NEAT@SIGCOMM 2019, Beijing, China, August 19, 2019}, pages = {15--21}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3341558.3342200}, doi = {10.1145/3341558.3342200}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/Gonzalez-Naharro19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/CandelPSD18, author = {Francisco Candel and Salvador Petit and Julio Sahuquillo and Jos{\'{e}} Duato}, title = {Accurately modeling the on-chip and off-chip {GPU} memory subsystem}, journal = {Future Gener. Comput. Syst.}, volume = {82}, pages = {510--519}, year = {2018}, url = {https://doi.org/10.1016/j.future.2017.02.012}, doi = {10.1016/J.FUTURE.2017.02.012}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/CandelPSD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/Escudero-Sahuquillo18, author = {Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a and Francisco J. Quiles and German {Maglione Mathey} and Jos{\'{e}} Duato Mar{\'{\i}}n}, title = {Feasible enhancements to congestion control in InfiniBand-based networks}, journal = {J. Parallel Distributed Comput.}, volume = {112}, pages = {35--52}, year = {2018}, url = {https://doi.org/10.1016/j.jpdc.2017.09.008}, doi = {10.1016/J.JPDC.2017.09.008}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/Escudero-Sahuquillo18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/FeliuSPD17, author = {Josu{\'{e}} Feliu and Julio Sahuquillo and Salvador Petit and Jos{\'{e}} Duato}, title = {Perf{\&}Fair: {A} Progress-Aware Scheduler to Enhance Performance and Fairness in {SMT} Multicores}, journal = {{IEEE} Trans. Computers}, volume = {66}, number = {5}, pages = {905--911}, year = {2017}, url = {https://doi.org/10.1109/TC.2016.2620977}, doi = {10.1109/TC.2016.2620977}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/FeliuSPD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/EsteveRGRD17, author = {Albert Esteve and Alberto Ros and Mar{\'{\i}}a Engracia G{\'{o}}mez and Antonio Robles and Jos{\'{e}} Duato}, title = {TLB-Based Temporality-Aware Classification in CMPs with Multilevel TLBs}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {28}, number = {8}, pages = {2401--2413}, year = {2017}, url = {https://doi.org/10.1109/TPDS.2017.2658576}, doi = {10.1109/TPDS.2017.2658576}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/EsteveRGRD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccgrid/ReanoSD17, author = {Carlos Rea{\~{n}}o and Federico Silla and Jos{\'{e}} Duato}, title = {Enhancing the rCUDA Remote {GPU} Virtualization Framework: from a Prototype to a Production Solution}, booktitle = {Proceedings of the 17th {IEEE/ACM} International Symposium on Cluster, Cloud and Grid Computing, {CCGRID} 2017, Madrid, Spain, May 14-17, 2017}, pages = {695--698}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2017}, url = {https://doi.org/10.1109/CCGRID.2017.42}, doi = {10.1109/CCGRID.2017.42}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccgrid/ReanoSD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/AndujarV0ADF17, author = {Francisco J. Andujar and Juan A. Villar and Jos{\'{e}} L. S{\'{a}}nchez and Francisco J. Alfaro and Jos{\'{e}} Duato and Holger Fr{\"{o}}ning}, editor = {Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a}, title = {A Case Study on Implementing Virtual 5D Torus Networks Using Network Components of Lower Dimensionality}, booktitle = {3rd {IEEE} International Workshop on High-Performance Interconnection Networks in the Exascale and Big-Data Era, HiPINEB@HPCA 2017, Austin, TX, USA, February 5, 2017}, pages = {9--16}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/HiPINEB.2017.7}, doi = {10.1109/HIPINEB.2017.7}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/AndujarV0ADF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icete/Duato17, author = {Jos{\'{e}} Duato}, editor = {Christian Callegari and Mohammad S. Obaidat and Enrique Cabello}, title = {Speeding up the execution of numerical computations and simulations with rCUDA}, booktitle = {Proceedings of the 14th International Joint Conference on e-Business and Telecommunications {(ICETE} 2017) - Volume 1: DCNET, Madrid, Spain, July 24-26, 2017}, pages = {9}, publisher = {SciTePress}, year = {2017}, timestamp = {Wed, 29 Aug 2018 15:13:07 +0200}, biburl = {https://dblp.org/rec/conf/icete/Duato17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/SahuquilloHPMD16, author = {Julio Sahuquillo and Houcine Hassan and Salvador Petit and Jos{\'{e}} Luis March and Jos{\'{e}} Duato}, title = {A dynamic execution time estimation model to save energy in heterogeneous multicores running periodic tasks}, journal = {Future Gener. Comput. Syst.}, volume = {56}, pages = {211--219}, year = {2016}, url = {https://doi.org/10.1016/j.future.2015.06.011}, doi = {10.1016/J.FUTURE.2015.06.011}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/SahuquilloHPMD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/FeliuSPD16, author = {Josu{\'{e}} Feliu and Julio Sahuquillo and Salvador Petit and Jos{\'{e}} Duato}, title = {Bandwidth-Aware On-Line Scheduling in {SMT} Multicores}, journal = {{IEEE} Trans. Computers}, volume = {65}, number = {2}, pages = {422--434}, year = {2016}, url = {https://doi.org/10.1109/TC.2015.2428694}, doi = {10.1109/TC.2015.2428694}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/FeliuSPD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/AndujarVSAM16, author = {Francisco J. Andujar and Juan A. Villar and Jos{\'{e}} L. S{\'{a}}nchez and Francisco J. Alfaro and Jos{\'{e}} Duato Mar{\'{\i}}n}, title = {Adaptive Routing for N-Dimensional Twin Torus}, journal = {{IEEE} Trans. Computers}, volume = {65}, number = {12}, pages = {3780--3786}, year = {2016}, url = {https://doi.org/10.1109/TC.2016.2557323}, doi = {10.1109/TC.2016.2557323}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/AndujarVSAM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/PenarandaRGLD16, author = {Roberto Pe{\~{n}}aranda and Crisp{\'{\i}}n G{\'{o}}mez Requena and Mar{\'{\i}}a Engracia G{\'{o}}mez and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {The k-ary n-direct s-indirect family of topologies for large-scale interconnection networks}, journal = {J. Supercomput.}, volume = {72}, number = {3}, pages = {1035--1062}, year = {2016}, url = {https://doi.org/10.1007/s11227-016-1640-z}, doi = {10.1007/S11227-016-1640-Z}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/PenarandaRGLD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/EsteveRGRD16, author = {Albert Esteve and Alberto Ros and Mar{\'{\i}}a Engracia G{\'{o}}mez and Antonio Robles and Jos{\'{e}} Duato}, title = {Efficient TLB-Based Detection of Private Pages in Chip Multiprocessors}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {27}, number = {3}, pages = {748--761}, year = {2016}, url = {https://doi.org/10.1109/TPDS.2015.2412139}, doi = {10.1109/TPDS.2015.2412139}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/EsteveRGRD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/GarzonRGLD16, author = {Diego F. Berm{\'{u}}dez Garz{\'{o}}n and Crisp{\'{\i}}n G{\'{o}}mez Requena and Mar{\'{\i}}a Engracia G{\'{o}}mez and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {A Family of Fault-Tolerant Efficient Indirect Topologies}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {27}, number = {4}, pages = {927--940}, year = {2016}, url = {https://doi.org/10.1109/TPDS.2015.2430863}, doi = {10.1109/TPDS.2015.2430863}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/GarzonRGLD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/EsteveRRGD16, author = {Albert Esteve and Alberto Ros and Antonio Robles and Mar{\'{\i}}a Engracia G{\'{o}}mez and Jos{\'{e}} Duato}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {TokenTLB: {A} Token-Based Page Classification Approach}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {26:1--26:13}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926280}, doi = {10.1145/2925426.2926280}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/EsteveRRGD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/CandelPSD16, author = {Francisco Candel and Salvador Petit and Julio Sahuquillo and Jos{\'{e}} Duato}, title = {Impact of Memory-Level Parallelism on the Performance of {GPU} Coherence Protocols}, booktitle = {24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2016, Heraklion, Crete, Greece, February 17-19, 2016}, pages = {305--308}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/PDP.2016.67}, doi = {10.1109/PDP.2016.67}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/CandelPSD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/TarragaPODMD15, author = {Joaqu{\'{\i}}n T{\'{a}}rraga and Mariano P{\'{e}}rez and Juan M. Ordu{\~{n}}a and Jos{\'{e}} Duato and Ignacio Medina and Joaqu{\'{\i}}n Dopazo}, title = {A parallel and sensitive software tool for methylation analysis on multicore platforms}, journal = {Bioinform.}, volume = {31}, number = {19}, pages = {3130--3138}, year = {2015}, url = {https://doi.org/10.1093/bioinformatics/btv357}, doi = {10.1093/BIOINFORMATICS/BTV357}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/TarragaPODMD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/ReanoSGPMQD15, author = {Carlos Rea{\~{n}}o and Federico Silla and Adri{\'{a}}n Castell{\'{o}} and Antonio J. Pe{\~{n}}a and Rafael Mayo and Enrique S. Quintana{-}Ort{\'{\i}} and Jos{\'{e}} Duato}, title = {Improving the user experience of the rCUDA remote {GPU} virtualization framework}, journal = {Concurr. Comput. Pract. Exp.}, volume = {27}, number = {14}, pages = {3746--3770}, year = {2015}, url = {https://doi.org/10.1002/cpe.3409}, doi = {10.1002/CPE.3409}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/ReanoSGPMQD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/ValeroPSKD15, author = {Alejandro Valero and Salvador Petit and Julio Sahuquillo and David R. Kaeli and Jos{\'{e}} Duato}, title = {A reuse-based refresh policy for energy-aware eDRAM caches}, journal = {Microprocess. Microsystems}, volume = {39}, number = {1}, pages = {37--48}, year = {2015}, url = {https://doi.org/10.1016/j.micpro.2014.12.001}, doi = {10.1016/J.MICPRO.2014.12.001}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/ValeroPSKD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pc/PradesSFND15, author = {Javier Prades and Federico Silla and Holger Fr{\"{o}}ning and Mondrian N{\"{u}}ssle and Jos{\'{e}} Duato}, title = {On the design of a new dynamic credit-based end-to-end flow control mechanism for {HPC} clusters}, journal = {Parallel Comput.}, volume = {46}, pages = {32--59}, year = {2015}, url = {https://doi.org/10.1016/j.parco.2015.03.006}, doi = {10.1016/J.PARCO.2015.03.006}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pc/PradesSFND15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ValeroSPLD15, author = {Alejandro Valero and Julio Sahuquillo and Salvador Petit and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Design of Hybrid Second-Level Caches}, journal = {{IEEE} Trans. Computers}, volume = {64}, number = {7}, pages = {1884--1897}, year = {2015}, url = {https://doi.org/10.1109/TC.2014.2346185}, doi = {10.1109/TC.2014.2346185}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ValeroSPLD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/Andujar-MunozVS15, author = {Francisco J. Andujar{-}Munoz and Juan A. Villar{-}Ortiz and Jos{\'{e}} L. S{\'{a}}nchez and Francisco Jos{\'{e}} Alfaro and Jos{\'{e}} Duato}, title = {N-Dimensional Twin Torus Topology}, journal = {{IEEE} Trans. Computers}, volume = {64}, number = {10}, pages = {2847--2861}, year = {2015}, url = {https://doi.org/10.1109/TC.2014.2378267}, doi = {10.1109/TC.2014.2378267}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/Andujar-MunozVS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/GomezVGLD15, author = {Crisp{\'{\i}}n G{\'{o}}mez Requena and Francisco Gilabert Villam{\'{o}}n and Mar{\'{\i}}a Engracia G{\'{o}}mez and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {A HoL-blocking aware mechanism for selecting the upward path in fat-tree topologies}, journal = {J. Supercomput.}, volume = {71}, number = {7}, pages = {2339--2364}, year = {2015}, url = {https://doi.org/10.1007/s11227-014-1303-x}, doi = {10.1007/S11227-014-1303-X}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/GomezVGLD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/VillarAA0D15, author = {Juan A. Villar and Francisco J. Andujar and Francisco J. Alfaro and Jos{\'{e}} L. S{\'{a}}nchez and Jos{\'{e}} Duato}, title = {Optimizing the configuration of combined high-radix switches}, journal = {J. Supercomput.}, volume = {71}, number = {7}, pages = {2614--2643}, year = {2015}, url = {https://doi.org/10.1007/s11227-015-1408-x}, doi = {10.1007/S11227-015-1408-X}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/VillarAA0D15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/Escudero-SahuquilloGGFSLQD15, author = {Jes{\'{u}}s Escudero{-}Sahuquillo and Ernst Gunnar Gran and Pedro Javier Garc{\'{\i}}a and Jos{\'{e}} Flich and Tor Skeie and Olav Lysne and Francisco J. Quiles and Jos{\'{e}} Duato}, title = {Efficient and Cost-Effective Hybrid Congestion Control for {HPC} Interconnection Networks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {26}, number = {1}, pages = {107--119}, year = {2015}, url = {https://doi.org/10.1109/TPDS.2014.2307851}, doi = {10.1109/TPDS.2014.2307851}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/Escudero-SahuquilloGGFSLQD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/CandelPSD15, author = {Francisco Candel and Salvador Petit and Julio Sahuquillo and Jos{\'{e}} Duato}, title = {Accurately modeling the {GPU} memory subsystem}, booktitle = {2015 International Conference on High Performance Computing {\&} Simulation, {HPCS} 2015, Amsterdam, Netherlands, July 20-24, 2015}, pages = {179--186}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/HPCSim.2015.7237038}, doi = {10.1109/HPCSIM.2015.7237038}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeehpcs/CandelPSD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/FeliuSPD15, author = {Josu{\'{e}} Feliu and Julio Sahuquillo and Salvador Petit and Jos{\'{e}} Duato}, title = {Addressing Fairness in {SMT} Multicores with a Progress-Aware Scheduler}, booktitle = {2015 {IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2015, Hyderabad, India, May 25-29, 2015}, pages = {187--196}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IPDPS.2015.48}, doi = {10.1109/IPDPS.2015.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/FeliuSPD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/Escudero-SahuquilloGQRSLD14, author = {Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a and Francisco J. Quiles and Sven{-}Arne Reinemo and Tor Skeie and Olav Lysne and Jos{\'{e}} Duato}, title = {A new proposal to deal with congestion in InfiniBand-based fat-trees}, journal = {J. Parallel Distributed Comput.}, volume = {74}, number = {1}, pages = {1802--1819}, year = {2014}, url = {https://doi.org/10.1016/j.jpdc.2013.09.002}, doi = {10.1016/J.JPDC.2013.09.002}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/Escudero-SahuquilloGQRSLD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pc/PenaRSMQD14, author = {Antonio J. Pe{\~{n}}a and Carlos Rea{\~{n}}o and Federico Silla and Rafael Mayo and Enrique S. Quintana{-}Ort{\'{\i}} and Jos{\'{e}} Duato}, title = {A complete and efficient CUDA-sharing solution for {HPC} clusters}, journal = {Parallel Comput.}, volume = {40}, number = {10}, pages = {574--588}, year = {2014}, url = {https://doi.org/10.1016/j.parco.2014.09.011}, doi = {10.1016/J.PARCO.2014.09.011}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pc/PenaRSMQD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/CanoFRDCL14, author = {Jos{\'{e}} Cano and Jos{\'{e}} Flich and Antoni Roca and Jos{\'{e}} Duato and Marcello Coppola and Riccardo Locatelli}, title = {Efficient Routing in Heterogeneous SoC Designs with Small Implementation Overhead}, journal = {{IEEE} Trans. Computers}, volume = {63}, number = {3}, pages = {557--569}, year = {2014}, url = {https://doi.org/10.1109/TC.2012.299}, doi = {10.1109/TC.2012.299}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/CanoFRDCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/AndujarVSAD14, author = {Francisco J. Andujar and Juan A. Villar and Jos{\'{e}} L. S{\'{a}}nchez and Francisco J. Alfaro and Jos{\'{e}} Duato}, title = {Building 3D Torus Using Low-Profile Expansion Cards}, journal = {{IEEE} Trans. Computers}, volume = {63}, number = {11}, pages = {2701--2715}, year = {2014}, url = {https://doi.org/10.1109/TC.2013.155}, doi = {10.1109/TC.2013.155}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/AndujarVSAD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/VillarAASD14, author = {Juan A. Villar and Francisco J. Andujar and Francisco J. Alfaro and Jos{\'{e}} L. S{\'{a}}nchez and Jos{\'{e}} Duato}, title = {Formalization and configuration methodology for high-radix combined switches}, journal = {J. Supercomput.}, volume = {69}, number = {3}, pages = {1410--1444}, year = {2014}, url = {https://doi.org/10.1007/s11227-014-1223-9}, doi = {10.1007/S11227-014-1223-9}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/VillarAASD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/FeliuPSD14, author = {Josu{\'{e}} Feliu and Salvador Petit and Julio Sahuquillo and Jos{\'{e}} Duato}, title = {Cache-Hierarchy Contention-Aware Scheduling in CMPs}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {25}, number = {3}, pages = {581--590}, year = {2014}, url = {https://doi.org/10.1109/TPDS.2013.61}, doi = {10.1109/TPDS.2013.61}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/FeliuPSD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cluster/ReanoSPSSGQD14, author = {Carlos Rea{\~{n}}o and Federico Silla and Antonio J. Pe{\~{n}}a and Gilad Shainer and Scot Schultz and Adri{\'{a}}n Castell{\'{o}} and Enrique S. Quintana{-}Ort{\'{\i}} and Jos{\'{e}} Duato}, title = {Boosting the performance of remote {GPU} virtualization using InfiniBand connect-IB and PCIe 3.0}, booktitle = {2014 {IEEE} International Conference on Cluster Computing, {CLUSTER} 2014, Madrid, Spain, September 22-26, 2014}, pages = {266--267}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/CLUSTER.2014.6968737}, doi = {10.1109/CLUSTER.2014.6968737}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cluster/ReanoSPSSGQD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/YebenesERGAQD14, author = {Pedro Y{\'{e}}benes and Jes{\'{u}}s Escudero{-}Sahuquillo and Crisp{\'{\i}}n G{\'{o}}mez Requena and Pedro Javier Garc{\'{\i}}a and Francisco J. Alfaro and Francisco J. Quiles and Jos{\'{e}} Duato}, title = {Combining HoL-blocking avoidance and differentiated services in high-speed interconnects}, booktitle = {21st International Conference on High Performance Computing, HiPC 2014, Goa, India, December 17-20, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/HiPC.2014.7116874}, doi = {10.1109/HIPC.2014.7116874}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipc/YebenesERGAQD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipeac/AndujarVA0D14, author = {Francisco J. And{\'{u}}jar and Juan A. Villar and Francisco J. Alfaro and Jos{\'{e}} L. S{\'{a}}nchez and Jos{\'{e}} Duato}, editor = {Giorgos Dimitrakopoulos and S{\"{o}}ren Sonntag and Jos{\'{e}} Flich and Pascal Vivet}, title = {Deadlock-free routing mechanism for 3D twin torus networks}, booktitle = {Proceedings of the 8th International Workshop on Interconnection Network Architecture - On-Chip, Multi-Chip, {INA-OCMC} 2014, Vienna, Austria, January 22, 2014}, pages = {3:1--3:4}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2556857.2556862}, doi = {10.1145/2556857.2556862}, timestamp = {Fri, 17 Dec 2021 12:59:56 +0100}, biburl = {https://dblp.org/rec/conf/hipeac/AndujarVA0D14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/CebrianRRRM14, author = {Roberto Pe{\~{n}}aranda Cebrian and Crisp{\'{\i}}n G{\'{o}}mez Requena and Mar{\'{\i}}a Engracia G{\'{o}}mez Requena and Pedro Juan L{\'{o}}pez Rodr{\'{\i}}guez and Jos{\'{e}} Duato Mar{\'{\i}}n}, title = {HoL-Blocking Avoidance Routing Algorithms in Direct Topologies}, booktitle = {2014 {IEEE} International Conference on High Performance Computing and Communications, 6th {IEEE} International Symposium on Cyberspace Safety and Security, 11th {IEEE} International Conference on Embedded Software and Systems, {HPCC/CSS/ICESS} 2014, Paris, France, August 20-22, 2014}, pages = {11--18}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HPCC.2014.9}, doi = {10.1109/HPCC.2014.9}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/CebrianRRRM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/MarchPSHD14, author = {Jos{\'{e}} Luis March and Salvador Petit and Julio Sahuquillo and Houcine Hassan and Jos{\'{e}} Duato}, title = {Dynamic {WCET} Estimation for Real-Time Multicore Embedded Systems Supporting {DVFS}}, booktitle = {2014 {IEEE} International Conference on High Performance Computing and Communications, 6th {IEEE} International Symposium on Cyberspace Safety and Security, 11th {IEEE} International Conference on Embedded Software and Systems, {HPCC/CSS/ICESS} 2014, Paris, France, August 20-22, 2014}, pages = {27--33}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HPCC.2014.11}, doi = {10.1109/HPCC.2014.11}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/MarchPSHD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FeliuSPD14, author = {Josu{\'{e}} Feliu and Julio Sahuquillo and Salvador Petit and Jos{\'{e}} Duato}, editor = {Arndt Bode and Michael Gerndt and Per Stenstr{\"{o}}m and Lawrence Rauchwerger and Barton P. Miller and Martin Schulz}, title = {Addressing bandwidth contention in {SMT} multicores through scheduling}, booktitle = {2014 International Conference on Supercomputing, ICS'14, Muenchen, Germany, June 10-13, 2014}, pages = {167}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597652.2600109}, doi = {10.1145/2597652.2600109}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/FeliuSPD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nca/AndujarVSAD14, author = {Francisco J. Andujar and Juan A. Villar and Jos{\'{e}} L. S{\'{a}}nchez and Francisco J. Alfaro and Jos{\'{e}} Duato}, title = {Optimal Configuration for N-Dimensional Twin Torus Networks}, booktitle = {2014 {IEEE} 13th International Symposium on Network Computing and Applications, {NCA} 2014, Cambridge, MA, USA, 21-23 August, 2014}, pages = {59--66}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/NCA.2014.14}, doi = {10.1109/NCA.2014.14}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nca/AndujarVSAD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/GorguesXFYD14, author = {Miguel Gorgues and Dong Xiang and Jos{\'{e}} Flich and Zhigang Yu and Jos{\'{e}} Duato}, editor = {Davide Bertozzi and Luca Benini and Sudhakar Yalamanchili and J{\"{o}}rg Henkel}, title = {Achieving balanced buffer utilization with a proper co-design of flow control and routing algorithm}, booktitle = {Eighth {IEEE/ACM} International Symposium on Networks-on-Chip, NoCS 2014, Ferrara, Italy, September 17-19, 2014}, pages = {25--32}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/NOCS.2014.7008758}, doi = {10.1109/NOCS.2014.7008758}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nocs/GorguesXFYD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/GarzonGGLD14, author = {Diego F. Berm{\'{u}}dez Garz{\'{o}}n and Crisp{\'{\i}}n G{\'{o}}mez Requena and Mar{\'{\i}}a Engracia G{\'{o}}mez and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {{FT-RUFT:} {A} Performance and Fault-Tolerant Efficient Indirect Topology}, booktitle = {22nd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2014, Torino, Italy, February 12-14, 2014}, pages = {405--409}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/PDP.2014.73}, doi = {10.1109/PDP.2014.73}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/GarzonGGLD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/IserteGMQSDRP14, author = {Sergio Iserte and Adri{\'{a}}n Castell{\'{o}} and Rafael Mayo and Enrique S. Quintana{-}Ort{\'{\i}} and Federico Silla and Jos{\'{e}} Duato and Carlos Rea{\~{n}}o and Javier Prades}, title = {{SLURM} Support for Remote {GPU} Virtualization: Implementation and Performance Study}, booktitle = {26th {IEEE} International Symposium on Computer Architecture and High Performance Computing, {SBAC-PAD} 2014, Paris, France, October 22-24, 2014}, pages = {318--325}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/SBAC-PAD.2014.49}, doi = {10.1109/SBAC-PAD.2014.49}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbac-pad/IserteGMQSDRP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/MarchSPHD13, author = {Jos{\'{e}} Luis March and Julio Sahuquillo and Salvador Petit and Houcine Hassan and Jos{\'{e}} Duato}, title = {Power-aware scheduling with effective task migration for real-time multicore embedded systems}, journal = {Concurr. Comput. Pract. Exp.}, volume = {25}, number = {14}, pages = {1987--2001}, year = {2013}, url = {https://doi.org/10.1002/cpe.2899}, doi = {10.1002/CPE.2899}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/MarchSPHD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/VillarASAGD13, author = {Juan A. Villar and Francisco J. Andujar and Jos{\'{e}} L. S{\'{a}}nchez and Francisco J. Alfaro and Jos{\'{e}} A. G{\'{a}}mez and Jos{\'{e}} Duato}, title = {Obtaining the optimal configuration of high-radix Combined switches}, journal = {J. Parallel Distributed Comput.}, volume = {73}, number = {9}, pages = {1239--1250}, year = {2013}, url = {https://doi.org/10.1016/j.jpdc.2013.04.009}, doi = {10.1016/J.JPDC.2013.04.009}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/VillarASAGD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/RocaHFSD13, author = {Antoni Roca and Carles Hern{\'{a}}ndez and Jos{\'{e}} Flich and Federico Silla and Jos{\'{e}} Duato}, title = {Silicon-aware distributed switch architecture for on-chip networks}, journal = {J. Syst. Archit.}, volume = {59}, number = {7}, pages = {505--515}, year = {2013}, url = {https://doi.org/10.1016/j.sysarc.2013.03.008}, doi = {10.1016/J.SYSARC.2013.03.008}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/RocaHFSD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/CuestaRGRD13, author = {Blas Cuesta and Alberto Ros and Mar{\'{\i}}a Engracia G{\'{o}}mez and Antonio Robles and Jos{\'{e}} Duato}, title = {Increasing the Effectiveness of Directory Caches by Avoiding the Tracking of Noncoherent Memory Blocks}, journal = {{IEEE} Trans. Computers}, volume = {62}, number = {3}, pages = {482--495}, year = {2013}, url = {https://doi.org/10.1109/TC.2011.241}, doi = {10.1109/TC.2011.241}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/CuestaRGRD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/UbalSPLD13, author = {Rafael Ubal and Julio Sahuquillo and Salvador Petit and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Hardware-Based Generation of Independent Subtraces of Instructions in Clustered Processors}, journal = {{IEEE} Trans. Computers}, volume = {62}, number = {5}, pages = {944--955}, year = {2013}, url = {https://doi.org/10.1109/TC.2012.42}, doi = {10.1109/TC.2012.42}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/UbalSPLD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/Escudero-SahuquilloGQFD13, author = {Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a and Francisco J. Quiles and Jos{\'{e}} Flich and Jos{\'{e}} Duato}, title = {An Effective and Feasible Congestion Management Technique for High-Performance MINs with Tag-Based Distributed Routing}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {24}, number = {10}, pages = {1918--1929}, year = {2013}, url = {https://doi.org/10.1109/TPDS.2012.303}, doi = {10.1109/TPDS.2012.303}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/Escudero-SahuquilloGQFD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/FeliuSPD13, author = {Josu{\'{e}} Feliu and Julio Sahuquillo and Salvador Petit and Jos{\'{e}} Duato}, editor = {Christian Fensch and Michael F. P. O'Boyle and Andr{\'{e}} Seznec and Fran{\c{c}}ois Bodin}, title = {L1-bandwidth aware thread allocation in multicore {SMT} processors}, booktitle = {Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques, Edinburgh, United Kingdom, September 7-11, 2013}, pages = {123--132}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/PACT.2013.6618810}, doi = {10.1109/PACT.2013.6618810}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/FeliuSPD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cluster/ReanoMQSDP13, author = {Carlos Rea{\~{n}}o and Rafael Mayo and Enrique S. Quintana{-}Ort{\'{\i}} and Federico Silla and Jos{\'{e}} Duato and Antonio J. Pe{\~{n}}a}, title = {Influence of InfiniBand {FDR} on the performance of remote {GPU} virtualization}, booktitle = {2013 {IEEE} International Conference on Cluster Computing, {CLUSTER} 2013, Indianapolis, IN, USA, September 23-27, 2013}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/CLUSTER.2013.6702662}, doi = {10.1109/CLUSTER.2013.6702662}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cluster/ReanoMQSDP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LorenteVSPCLD13, author = {Vicente Lorente and Alejandro Valero and Julio Sahuquillo and Salvador Petit and Ramon Canal and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {Enrico Macii}, title = {Combining {RAM} technologies for hard-error recovery in {L1} data caches working at very-low power modes}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {83--88}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.031}, doi = {10.7873/DATE.2013.031}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/LorenteVSPCLD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/SeguraERGQD13, author = {Pedro Yebenes Segura and Jes{\'{u}}s Escudero{-}Sahuquillo and Crisp{\'{\i}}n G{\'{o}}mez Requena and Pedro Javier Garc{\'{\i}}a and Francisco J. Quiles and Jos{\'{e}} Duato}, editor = {Felix Wolf and Bernd Mohr and Dieter an Mey}, title = {{BBQ:} {A} Straightforward Queuing Scheme to Reduce HoL-Blocking in High-Performance Hybrid Networks}, booktitle = {Euro-Par 2013 Parallel Processing - 19th International Conference, Aachen, Germany, August 26-30, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8097}, pages = {699--712}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-40047-6\_70}, doi = {10.1007/978-3-642-40047-6\_70}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/SeguraERGQD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/PenarandaGGLD13, author = {Roberto Pe{\~{n}}aranda and Crisp{\'{\i}}n G{\'{o}}mez Requena and Mar{\'{\i}}a Engracia G{\'{o}}mez and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {Vassil Alexandrov and Michael Lees and Valeria V. Krzhizhanovskaya and Jack J. Dongarra and Peter M. A. Sloot}, title = {Deterministic Routing with HoL-Blocking-Awareness for Direct Topologies}, booktitle = {Proceedings of the International Conference on Computational Science, {ICCS} 2013, Barcelona, Spain, 5-7 June, 2013}, series = {Procedia Computer Science}, volume = {18}, pages = {2521--2524}, publisher = {Elsevier}, year = {2013}, url = {https://doi.org/10.1016/j.procs.2013.05.432}, doi = {10.1016/J.PROCS.2013.05.432}, timestamp = {Wed, 12 Jul 2023 15:16:18 +0200}, biburl = {https://dblp.org/rec/conf/iccS/PenarandaGGLD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/FeliuSPD13, author = {Josu{\'{e}} Feliu and Julio Sahuquillo and Salvador Petit and Jos{\'{e}} Duato}, editor = {Vassil Alexandrov and Michael Lees and Valeria V. Krzhizhanovskaya and Jack J. Dongarra and Peter M. A. Sloot}, title = {Using Huge Pages and Performance Counters to Determine the {LLC} Architecture}, booktitle = {Proceedings of the International Conference on Computational Science, {ICCS} 2013, Barcelona, Spain, 5-7 June, 2013}, series = {Procedia Computer Science}, volume = {18}, pages = {2557--2560}, publisher = {Elsevier}, year = {2013}, url = {https://doi.org/10.1016/j.procs.2013.05.440}, doi = {10.1016/J.PROCS.2013.05.440}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccS/FeliuSPD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/RosCGRD13, author = {Alberto Ros and Blas Cuesta and Mar{\'{\i}}a Engracia G{\'{o}}mez and Antonio Robles and Jos{\'{e}} Duato}, title = {Temporal-Aware Mechanism to Detect Private Data in Chip Multiprocessors}, booktitle = {42nd International Conference on Parallel Processing, {ICPP} 2013, Lyon, France, October 1-4, 2013}, pages = {562--571}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICPP.2013.70}, doi = {10.1109/ICPP.2013.70}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/RosCGRD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ValeroSPD13, author = {Alejandro Valero and Julio Sahuquillo and Salvador Petit and Jos{\'{e}} Duato}, editor = {Allen D. Malony and Mario Nemirovsky and Samuel P. Midkiff}, title = {Exploiting reuse information to reduce refresh energy in on-chip eDRAM caches}, booktitle = {International Conference on Supercomputing, ICS'13, Eugene, OR, {USA} - June 10 - 14, 2013}, pages = {491--492}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464996.2467278}, doi = {10.1145/2464996.2467278}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ValeroSPD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cai/TorneroPD12, author = {Rafael Tornero and Maurizio Palesi and Jos{\'{e}} Duato}, title = {A Topology-Independent Mapping Technique for Application-Specific Networks-on-Chip}, journal = {Comput. Informatics}, volume = {31}, number = {5}, pages = {939--970}, year = {2012}, url = {http://www.cai.sk/ojs/index.php/cai/article/view/1312}, timestamp = {Mon, 14 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cai/TorneroPD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/MontanerSFD12, author = {H{\'{e}}ctor Montaner and Federico Silla and Holger Fr{\"{o}}ning and Jos{\'{e}} Duato}, title = {A new degree of freedom for memory allocation in clusters}, journal = {Clust. Comput.}, volume = {15}, number = {2}, pages = {101--123}, year = {2012}, url = {https://doi.org/10.1007/s10586-010-0150-7}, doi = {10.1007/S10586-010-0150-7}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/MontanerSFD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/CuestaRD12, author = {Blas Cuesta and Antonio Robles and Jos{\'{e}} Duato}, title = {Switch-based packing technique to reduce traffic and latency in token coherence}, journal = {J. Parallel Distributed Comput.}, volume = {72}, number = {3}, pages = {409--423}, year = {2012}, url = {https://doi.org/10.1016/j.jpdc.2011.11.010}, doi = {10.1016/J.JPDC.2011.11.010}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/CuestaRD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/ValeroSPLD12, author = {Alejandro Valero and Julio Sahuquillo and Salvador Petit and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Combining recency of information with selective random and a victim cache in last-level caches}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {9}, number = {3}, pages = {16:1--16:20}, year = {2012}, url = {https://doi.org/10.1145/2355585.2355589}, doi = {10.1145/2355585.2355589}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/ValeroSPLD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/RosSPGARGD12, author = {Alberto Ros and Blas Cuesta Saez and Ricardo Fern{\'{a}}ndez Pascual and Mar{\'{\i}}a Engracia G{\'{o}}mez and Manuel E. Acacio and Antonio Robles and Jos{\'{e}} M. Garc{\'{\i}}a and Jos{\'{e}} Duato}, title = {Extending Magny-Cours Cache Coherence}, journal = {{IEEE} Trans. Computers}, volume = {61}, number = {5}, pages = {593--606}, year = {2012}, url = {https://doi.org/10.1109/TC.2011.65}, doi = {10.1109/TC.2011.65}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/RosSPGARGD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ValeroPSLD12, author = {Alejandro Valero and Salvador Petit and Julio Sahuquillo and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Design, Performance, and Energy Consumption of eDRAM/SRAM Macrocells for {L1} Data Caches}, journal = {{IEEE} Trans. Computers}, volume = {61}, number = {9}, pages = {1231--1242}, year = {2012}, url = {https://doi.org/10.1109/TC.2011.138}, doi = {10.1109/TC.2011.138}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ValeroPSLD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/FerrerBRLD12, author = {Joan{-}Llu{\'{\i}}s Ferrer and Elvira Baydal and Antonio Robles and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Progressive Congestion Management Based on Packet Marking and Validation Techniques}, journal = {{IEEE} Trans. Computers}, volume = {61}, number = {9}, pages = {1296--1310}, year = {2012}, url = {https://doi.org/10.1109/TC.2011.146}, doi = {10.1109/TC.2011.146}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/FerrerBRLD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HernandezRSFD12, author = {Carles Hern{\'{a}}ndez and Antoni Roca and Federico Silla and Jos{\'{e}} Flich and Jos{\'{e}} Duato}, title = {On the Impact of Within-Die Process Variation in GALS-Based NoC Performance}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {31}, number = {2}, pages = {294--307}, year = {2012}, url = {https://doi.org/10.1109/TCAD.2011.2170071}, doi = {10.1109/TCAD.2011.2170071}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/HernandezRSFD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/SerranoSPHD12, author = {Monica Serrano and Julio Sahuquillo and Salvador Petit and Houcine Hassan and Jos{\'{e}} Duato}, title = {A cost-effective heuristic to schedule local and remote memory in cluster computers}, journal = {J. Supercomput.}, volume = {59}, number = {3}, pages = {1533--1551}, year = {2012}, url = {https://doi.org/10.1007/s11227-011-0566-8}, doi = {10.1007/S11227-011-0566-8}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/SerranoSPHD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/FlichSMLLRDKRS12, author = {Jos{\'{e}} Flich and Tor Skeie and Andres Mejia and Olav Lysne and Pedro L{\'{o}}pez and Antonio Robles and Jos{\'{e}} Duato and Michihiro Koibuchi and Tomas Rokicki and Jos{\'{e}} Carlos Sancho}, title = {A Survey and Evaluation of Topology-Agnostic Deterministic Routing Algorithms}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {23}, number = {3}, pages = {405--425}, year = {2012}, url = {https://doi.org/10.1109/TPDS.2011.190}, doi = {10.1109/TPDS.2011.190}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/FlichSMLLRDKRS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ValeroSLPLD12, author = {Alejandro Valero and Julio Sahuquillo and Vicente Lorente and Salvador Petit and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Impact on Performance and Energy of the Retention Time and Processor Frequency in {L1} Macrocell-Based Data Caches}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {20}, number = {6}, pages = {1108--1117}, year = {2012}, url = {https://doi.org/10.1109/TVLSI.2011.2142202}, doi = {10.1109/TVLSI.2011.2142202}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/ValeroSLPLD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/VallsRSGD12, author = {Joan J. Valls and Alberto Ros and Julio Sahuquillo and Mar{\'{\i}}a Engracia G{\'{o}}mez and Jos{\'{e}} Duato}, editor = {Pen{-}Chung Yew and Sangyeun Cho and Luiz DeRose and David J. Lilja}, title = {PS-Dir: a scalable two-level directory cache}, booktitle = {International Conference on Parallel Architectures and Compilation Techniques, {PACT} '12, Minneapolis, MN, {USA} - September 19 - 23, 2012}, pages = {451--452}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2370816.2370891}, doi = {10.1145/2370816.2370891}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/VallsRSGD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/adbis/LadraPDB12, author = {Susana Ladra and Oscar Pedreira and Jos{\'{e}} Duato and Nieves R. Brisaboa}, editor = {Tadeusz Morzy and Theo H{\"{a}}rder and Robert Wrembel}, title = {Exploiting {SIMD} Instructions in Current Processors to Improve Classical String Algorithms}, booktitle = {Advances in Databases and Information Systems - 16th East European Conference, {ADBIS} 2012, Pozna{\'{n}}, Poland, September 18-21, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7503}, pages = {254--267}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-33074-2\_19}, doi = {10.1007/978-3-642-33074-2\_19}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/adbis/LadraPDB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cluster/PradesSDFN12, author = {Javier Prades and Federico Silla and Jos{\'{e}} Duato and Holger Fr{\"{o}}ning and Mondrian N{\"{u}}ssle}, title = {A New End-to-End Flow-Control Mechanism for High Performance Computing Clusters}, booktitle = {2012 {IEEE} International Conference on Cluster Computing, {CLUSTER} 2012, Beijing, China, September 24-28, 2012}, pages = {320--328}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/CLUSTER.2012.15}, doi = {10.1109/CLUSTER.2012.15}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cluster/PradesSDFN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/HernandezSD12, author = {Carles Hern{\'{a}}ndez and Federico Silla and Jos{\'{e}} Duato}, editor = {Ioannis Caragiannis and Michael Alexander and Rosa M. Badia and Mario Cannataro and Alexandru Costan and Marco Danelutto and Fr{\'{e}}d{\'{e}}ric Desprez and Bettina Krammer and Julio Sahuquillo and Stephen L. Scott and Josef Weidendorfer}, title = {Addressing Link Degradation in NoC-Based {ULSI} Designs}, booktitle = {Euro-Par 2012: Parallel Processing Workshops - BDMC, CGWS, HeteroPar, HiBB, OMHI, Paraphrase, PROPER, Resilience, UCHPC, VHPC, Rhodes Islands, Greece, August 27-31, 2012. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {7640}, pages = {327--336}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-36949-0\_36}, doi = {10.1007/978-3-642-36949-0\_36}, timestamp = {Fri, 14 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/HernandezSD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/GarzonGGLD12, author = {Diego F. Berm{\'{u}}dez Garz{\'{o}}n and Crisp{\'{\i}}n G{\'{o}}mez Requena and Mar{\'{\i}}a Engracia G{\'{o}}mez and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {Christos Kaklamanis and Theodore S. Papatheodorou and Paul G. Spirakis}, title = {Towards an Efficient Fat-Tree like Topology}, booktitle = {Euro-Par 2012 Parallel Processing - 18th International Conference, Euro-Par 2012, Rhodes Island, Greece, August 27-31, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7484}, pages = {716--728}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-32820-6\_71}, doi = {10.1007/978-3-642-32820-6\_71}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/GarzonGGLD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/ReanoPSDMQ12, author = {Carlos Rea{\~{n}}o and Antonio J. Pe{\~{n}}a and Federico Silla and Jos{\'{e}} Duato and Rafael Mayo and Enrique S. Quintana{-}Ort{\'{\i}}}, title = {CU2rCU: Towards the complete rCUDA remote {GPU} virtualization and sharing solution}, booktitle = {19th International Conference on High Performance Computing, HiPC 2012, Pune, India, December 18-22, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/HiPC.2012.6507485}, doi = {10.1109/HIPC.2012.6507485}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipc/ReanoPSDMQ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ValeroSPLD12, author = {Alejandro Valero and Julio Sahuquillo and Salvador Petit and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Analyzing the optimal ratio of {SRAM} banks in hybrid caches}, booktitle = {30th International {IEEE} Conference on Computer Design, {ICCD} 2012, Montreal, QC, Canada, September 30 - Oct. 3, 2012}, pages = {297--302}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICCD.2012.6378655}, doi = {10.1109/ICCD.2012.6378655}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ValeroSPLD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/SerranoPSUHD12, author = {Monica Serrano and Salvador Petit and Julio Sahuquillo and Rafael Ubal and Houcine Hassan and Jos{\'{e}} Duato}, title = {Page-Based Memory Allocation Policies of Local and Remote Memory in Cluster Computers}, booktitle = {18th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2012, Singapore, December 17-19, 2012}, pages = {612--619}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICPADS.2012.88}, doi = {10.1109/ICPADS.2012.88}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/SerranoPSUHD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/PenarandaGGLD12, author = {Roberto Pe{\~{n}}aranda and Crisp{\'{\i}}n G{\'{o}}mez Requena and Mar{\'{\i}}a Engracia G{\'{o}}mez and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {{IODET:} {A} HoL-blocking-aware Deterministic Routing Algorithm for Direct Topologies}, booktitle = {18th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2012, Singapore, December 17-19, 2012}, pages = {702--703}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICPADS.2012.103}, doi = {10.1109/ICPADS.2012.103}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/PenarandaGGLD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/RocaHFSD12, author = {Antoni Roca and Carles Hern{\'{a}}ndez and Jos{\'{e}} Flich and Federico Silla and Jos{\'{e}} Duato}, title = {Enabling High-Performance Crossbars through a Floorplan-Aware Design}, booktitle = {41st International Conference on Parallel Processing, {ICPP} 2012, Pittsburgh, PA, USA, September 10-13, 2012}, pages = {269--278}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICPP.2012.24}, doi = {10.1109/ICPP.2012.24}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/RocaHFSD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/FeliuSPD12, author = {Josu{\'{e}} Feliu and Julio Sahuquillo and Salvador Petit and Jos{\'{e}} Duato}, title = {Understanding Cache Hierarchy Contention in CMPs to Improve Job Scheduling}, booktitle = {26th {IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2012, Shanghai, China, May 21-25, 2012}, pages = {508--519}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IPDPS.2012.54}, doi = {10.1109/IPDPS.2012.54}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/FeliuSPD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/RosCGRD12, author = {Alberto Ros and Blas Cuesta and Mar{\'{\i}}a Engracia G{\'{o}}mez and Antonio Robles and Jos{\'{e}} Duato}, title = {Cache Miss Characterization in Hierarchical Large-Scale Cache-Coherent Systems}, booktitle = {10th {IEEE} International Symposium on Parallel and Distributed Processing with Applications, {ISPA} 2012, Leganes, Madrid, Spain, July 10-13, 2012}, pages = {691--696}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPA.2012.102}, doi = {10.1109/ISPA.2012.102}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispa/RosCGRD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nca/PenarandaRGLD12, author = {Roberto Pe{\~{n}}aranda and Crisp{\'{\i}}n G{\'{o}}mez Requena and Mar{\'{\i}}a Engracia G{\'{o}}mez and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {A New Family of Hybrid Topologies for Large-Scale Interconnection Networks}, booktitle = {11th {IEEE} International Symposium on Network Computing and Applications, {NCA} 2012, Cambridge, MA, USA, August 23-25, 2012}, pages = {220--227}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/NCA.2012.22}, doi = {10.1109/NCA.2012.22}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nca/PenarandaRGLD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/VillarASAD12, author = {Juan A. Villar and Francisco J. Andujar and Jos{\'{e}} L. S{\'{a}}nchez and Francisco Jos{\'{e}} Alfaro and Jos{\'{e}} Duato}, editor = {Rainer Stotzka and Michael Schiffers and Yannis Cotronis}, title = {Optimal Configuration of High-Radix Combined Switches}, booktitle = {Proceedings of the 20th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, {PDP} 2012, Munich, Germany, February 15-17, 2012}, pages = {102--111}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/PDP.2012.55}, doi = {10.1109/PDP.2012.55}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/VillarASAD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/MarchPSHD12, author = {Jos{\'{e}} Luis March and Salvador Petit and Julio Sahuquillo and Houcine Hassan and Jos{\'{e}} Duato}, editor = {Jairo Panetta and Jos{\'{e}} E. Moreira and David A. Padua and Philippe O. A. Navaux}, title = {Efficiently Handling Memory Accesses to Improve QoS in Multicore Systems under Real-Time Constraints}, booktitle = {{IEEE} 24th International Symposium on Computer Architecture and High Performance Computing, {SBAC-PAD} 2012, New York, NY, USA, October 24-26, 2012}, pages = {286--293}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/SBAC-PAD.2012.16}, doi = {10.1109/SBAC-PAD.2012.16}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbac-pad/MarchPSHD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/HernandezRFSD11, author = {Carles Hern{\'{a}}ndez and Antoni Roca and Jos{\'{e}} Flich and Federico Silla and Jos{\'{e}} Duato}, title = {Fault-Tolerant Vertical Link Design for Effective 3D Stacking}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {10}, number = {2}, pages = {41--44}, year = {2011}, url = {https://doi.org/10.1109/L-CA.2011.17}, doi = {10.1109/L-CA.2011.17}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/HernandezRFSD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/MarchSHPD11, author = {Jos{\'{e}} Luis March and Julio Sahuquillo and Houcine Hassan and Salvador Petit and Jos{\'{e}} Duato}, title = {A New Energy-Aware Dynamic Task Set Partitioning Algorithm for Soft and Hard Embedded Real-Time Systems}, journal = {Comput. J.}, volume = {54}, number = {8}, pages = {1282--1294}, year = {2011}, url = {https://doi.org/10.1093/comjnl/bxr008}, doi = {10.1093/COMJNL/BXR008}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/MarchSHPD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/RequenaGLD11, author = {Crisp{\'{\i}}n G{\'{o}}mez Requena and Mar{\'{\i}}a Engracia G{\'{o}}mez and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {How to reduce packet dropping in a bufferless NoC}, journal = {Concurr. Comput. Pract. Exp.}, volume = {23}, number = {1}, pages = {86--99}, year = {2011}, url = {https://doi.org/10.1002/cpe.1606}, doi = {10.1002/CPE.1606}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/RequenaGLD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/Escudero-SahuquilloGQFD11, author = {Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a and Francisco J. Quiles and Jos{\'{e}} Flich and Jos{\'{e}} Duato}, title = {Cost-effective queue schemes for reducing head-of-line blocking in fat-trees}, journal = {Concurr. Comput. Pract. Exp.}, volume = {23}, number = {17}, pages = {2235--2248}, year = {2011}, url = {https://doi.org/10.1002/cpe.1764}, doi = {10.1002/CPE.1764}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/Escudero-SahuquilloGQFD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/TorneroOMFD11, author = {Rafael Tornero and Juan Manuel Ordu{\~{n}}a and Andres Mejia and Jos{\'{e}} Flich and Jos{\'{e}} Duato}, title = {A Communication-Driven Routing Technique for Application-Specific NoCs}, journal = {Int. J. Parallel Program.}, volume = {39}, number = {3}, pages = {357--374}, year = {2011}, url = {https://doi.org/10.1007/s10766-010-0159-9}, doi = {10.1007/S10766-010-0159-9}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijpp/TorneroOMFD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/HernandezRFSD11, author = {Carles Hern{\'{a}}ndez and Antoni Roca and Jos{\'{e}} Flich and Federico Silla and Jos{\'{e}} Duato}, title = {Characterizing the impact of process variation on 45 nm NoC-based CMPs}, journal = {J. Parallel Distributed Comput.}, volume = {71}, number = {5}, pages = {651--663}, year = {2011}, url = {https://doi.org/10.1016/j.jpdc.2010.09.006}, doi = {10.1016/J.JPDC.2010.09.006}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/HernandezRFSD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/Escudero-SahuquilloGQFD11, author = {Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a and Francisco J. Quiles and Jos{\'{e}} Flich and Jos{\'{e}} Duato}, title = {{OBQA:} Smart and cost-efficient queue scheme for Head-of-Line blocking elimination in fat-trees}, journal = {J. Parallel Distributed Comput.}, volume = {71}, number = {11}, pages = {1460--1472}, year = {2011}, url = {https://doi.org/10.1016/j.jpdc.2011.07.007}, doi = {10.1016/J.JPDC.2011.07.007}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/Escudero-SahuquilloGQFD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/RocaFSD11, author = {Antoni Roca and Jos{\'{e}} Flich and Federico Silla and Jos{\'{e}} Duato}, title = {A low-latency modular switch for {CMP} systems}, journal = {Microprocess. Microsystems}, volume = {35}, number = {8}, pages = {742--754}, year = {2011}, url = {https://doi.org/10.1016/j.micpro.2011.08.011}, doi = {10.1016/J.MICPRO.2011.08.011}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/RocaFSD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/Sem-JacobsenSLD11, author = {Frank Olaf Sem{-}Jacobsen and Tor Skeie and Olav Lysne and Jos{\'{e}} Duato}, title = {Dynamic Fault Tolerance in Fat Trees}, journal = {{IEEE} Trans. Computers}, volume = {60}, number = {4}, pages = {508--525}, year = {2011}, url = {https://doi.org/10.1109/TC.2010.97}, doi = {10.1109/TC.2010.97}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/Sem-JacobsenSLD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/RodrigoFRMBCSD11, author = {Samuel Rodrigo and Jos{\'{e}} Flich and Antoni Roca and Simone Medardoni and Davide Bertozzi and Jes{\'{u}}s Camacho Villanueva and Federico Silla and Jos{\'{e}} Duato}, title = {Cost-Efficient On-Chip Routing Implementations for {CMP} and MPSoC Systems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {30}, number = {4}, pages = {534--547}, year = {2011}, url = {https://doi.org/10.1109/TCAD.2011.2119150}, doi = {10.1109/TCAD.2011.2119150}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/RodrigoFRMBCSD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/SaezRD11, author = {Blas Cuesta Saez and Antonio Robles and Jos{\'{e}} Duato}, title = {Efficient and Scalable Starvation Prevention Mechanism for Token Coherence}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {22}, number = {10}, pages = {1610--1623}, year = {2011}, url = {https://doi.org/10.1109/TPDS.2011.80}, doi = {10.1109/TPDS.2011.80}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/SaezRD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/ValeroSPLD11, author = {Alejandro Valero and Julio Sahuquillo and Salvador Petit and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {Lawrence Rauchwerger and Vivek Sarkar}, title = {Improving Last-Level Cache Performance by Exploiting the Concept of MRU-Tour}, booktitle = {2011 International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2011, Galveston, TX, USA, October 10-14, 2011}, pages = {214}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/PACT.2011.47}, doi = {10.1109/PACT.2011.47}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/ValeroSPLD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/MontanerSFD11, author = {H{\'{e}}ctor Montaner and Federico Silla and Holger Fr{\"{o}}ning and Jos{\'{e}} Duato}, editor = {Craig Macdonald and Iadh Ounis and Ian Ruthven}, title = {{MEMSCALE:} in-cluster-memory databases}, booktitle = {Proceedings of the 20th {ACM} Conference on Information and Knowledge Management, {CIKM} 2011, Glasgow, United Kingdom, October 24-28, 2011}, pages = {2569--2572}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2063576.2064022}, doi = {10.1145/2063576.2064022}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/MontanerSFD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/CamachoFDEO11, author = {Jes{\'{u}}s Camacho Villanueva and Jos{\'{e}} Flich and Jos{\'{e}} Duato and Hans Eberle and Wladek Olesinski}, title = {Towards an Efficient NoC Topology through Multiple Injection Ports}, booktitle = {14th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, {DSD} 2011, August 31 - September 2, 2011, Oulu, Finland}, pages = {165--172}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/DSD.2011.25}, doi = {10.1109/DSD.2011.25}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/CamachoFDEO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/MarchSPHD11, author = {Jos{\'{e}} Luis March and Julio Sahuquillo and Salvador Petit and Houcine Hassan and Jos{\'{e}} Duato}, editor = {Emmanuel Jeannot and Raymond Namyst and Jean Roman}, title = {A Dynamic Power-Aware Partitioner with Task Migration for Multicore Embedded Systems}, booktitle = {Euro-Par 2011 Parallel Processing - 17th International Conference, Euro-Par 2011, Bordeaux, France, August 29 - September 2, 2011, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {6852}, pages = {218--229}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23400-2\_21}, doi = {10.1007/978-3-642-23400-2\_21}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/MarchSPHD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/DuatoPSFMQ11, author = {Jos{\'{e}} Duato and Antonio J. Pe{\~{n}}a and Federico Silla and Juan Carlos Fern{\'{a}}ndez and Rafael Mayo and Enrique S. Quintana{-}Ort{\'{\i}}}, title = {Enabling {CUDA} acceleration within virtual machines using rCUDA}, booktitle = {18th International Conference on High Performance Computing, HiPC 2011, Bengaluru, India, December 18-21, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/HiPC.2011.6152718}, doi = {10.1109/HIPC.2011.6152718}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipc/DuatoPSFMQ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/FroningGMSD11, author = {Holger Fr{\"{o}}ning and Alexander Giese and H{\'{e}}ctor Montaner and Federico Silla and Jos{\'{e}} Duato}, title = {Highly scalable barriers for future high-performance computing clusters}, booktitle = {18th International Conference on High Performance Computing, HiPC 2011, Bengaluru, India, December 18-21, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/HiPC.2011.6152729}, doi = {10.1109/HIPC.2011.6152729}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipc/FroningGMSD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipeac/CamachoFDEO11, author = {J. Camacho and Jos{\'{e}} Flich and Jos{\'{e}} Duato and Hans Eberle and Wladek Olesinski}, editor = {Jos{\'{e}} Flich and Davide Bertozzi and Tor Skeie and Daniele Ludovici}, title = {A power-efficient network on-chip topology}, booktitle = {Proceedings of the Fifth International Workshop on Interconnection Network Architecture - On-Chip, Multi-Chip, {INA-OCMC} '11, Heraklion, Greece, January 23, 2011}, pages = {23--26}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1930037.1930044}, doi = {10.1145/1930037.1930044}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipeac/CamachoFDEO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/MontanerSFD11, author = {H{\'{e}}ctor Montaner and Federico Silla and Holger Fr{\"{o}}ning and Jos{\'{e}} Duato}, editor = {Parimala Thulasiraman and Laurence Tianruo Yang and Qiwen Pan and Xingang Liu and Yaw{-}Chung Chen and Yo{-}Ping Huang and Lin{-}Huang Chang and Che{-}Lun Hung and Che{-}Rung Lee and Justin Y. Shi and Ying Zhang}, title = {Unleash Your Memory-Constrained Applications: {A} 32-Node Non-coherent Distributed-Memory Prototype Cluster}, booktitle = {13th {IEEE} International Conference on High Performance Computing {\&} Communication, {HPCC} 2011, Banff, Alberta, Canada, September 2-4, 2011}, pages = {9--16}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/HPCC.2011.12}, doi = {10.1109/HPCC.2011.12}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/MontanerSFD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/VillarASAD11, author = {Juan A. Villar and Francisco J. Andujar and Jos{\'{e}} L. S{\'{a}}nchez and Francisco Jos{\'{e}} Alfaro and Jos{\'{e}} Duato}, editor = {Parimala Thulasiraman and Laurence Tianruo Yang and Qiwen Pan and Xingang Liu and Yaw{-}Chung Chen and Yo{-}Ping Huang and Lin{-}Huang Chang and Che{-}Lun Hung and Che{-}Rung Lee and Justin Y. Shi and Ying Zhang}, title = {C-Switches: Increasing Switch Radix with Current Integration Scale}, booktitle = {13th {IEEE} International Conference on High Performance Computing {\&} Communication, {HPCC} 2011, Banff, Alberta, Canada, September 2-4, 2011}, pages = {40--49}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/HPCC.2011.16}, doi = {10.1109/HPCC.2011.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcc/VillarASAD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/MontanerSFD11a, author = {H{\'{e}}ctor Montaner and Federico Silla and Holger Fr{\"{o}}ning and Jos{\'{e}} Duato}, editor = {Parimala Thulasiraman and Laurence Tianruo Yang and Qiwen Pan and Xingang Liu and Yaw{-}Chung Chen and Yo{-}Ping Huang and Lin{-}Huang Chang and Che{-}Lun Hung and Che{-}Rung Lee and Justin Y. Shi and Ying Zhang}, title = {MEMSCALE\({}^{\mbox{TM}}\): {A} Scalable Environment for Databases}, booktitle = {13th {IEEE} International Conference on High Performance Computing {\&} Communication, {HPCC} 2011, Banff, Alberta, Canada, September 2-4, 2011}, pages = {339--346}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/HPCC.2011.51}, doi = {10.1109/HPCC.2011.51}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcc/MontanerSFD11a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/SerranoSHPD11, author = {Monica Serrano and Julio Sahuquillo and Houcine Hassan and Salvador Petit and Jos{\'{e}} Duato}, editor = {Yang Xiang and Alfredo Cuzzocrea and Michael Hobbs and Wanlei Zhou}, title = {A Cluster Computer Performance Predictor for Memory Scheduling}, booktitle = {Algorithms and Architectures for Parallel Processing - 11th International Conference, ICA3PP, Melbourne, Australia, October 24-26, 2011, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {7017}, pages = {353--362}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24669-2\_34}, doi = {10.1007/978-3-642-24669-2\_34}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/SerranoSHPD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/RocaHFSD11, author = {Antoni Roca and Carles Hern{\'{a}}ndez and Jos{\'{e}} Flich and Federico Silla and Jos{\'{e}} Duato}, editor = {Guang R. Gao and Yu{-}Chee Tseng}, title = {A Distributed Switch Architecture for On-Chip Networks}, booktitle = {International Conference on Parallel Processing, {ICPP} 2011, Taipei, Taiwan, September 13-16, 2011}, pages = {21--30}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICPP.2011.28}, doi = {10.1109/ICPP.2011.28}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/RocaHFSD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/HernandezSD11, author = {Carles Hern{\'{a}}ndez and Federico Silla and Jos{\'{e}} Duato}, editor = {Guang R. Gao and Yu{-}Chee Tseng}, title = {Energy and Performance Efficient Thread Mapping in NoC-Based CMPs under Process Variations}, booktitle = {International Conference on Parallel Processing, {ICPP} 2011, Taipei, Taiwan, September 13-16, 2011}, pages = {41--50}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICPP.2011.48}, doi = {10.1109/ICPP.2011.48}, timestamp = {Fri, 14 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/HernandezSD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/DuatoPSMQ11, author = {Jos{\'{e}} Duato and Antonio J. Pe{\~{n}}a and Federico Silla and Rafael Mayo and Enrique S. Quintana{-}Ort{\'{\i}}}, editor = {Guang R. Gao and Yu{-}Chee Tseng}, title = {Performance of {CUDA} Virtualized Remote GPUs in High Performance Clusters}, booktitle = {International Conference on Parallel Processing, {ICPP} 2011, Taipei, Taiwan, September 13-16, 2011}, pages = {365--374}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICPP.2011.58}, doi = {10.1109/ICPP.2011.58}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/DuatoPSMQ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/CamachoFRD11, author = {Jes{\'{u}}s Camacho Villanueva and Jos{\'{e}} Flich and Antoni Roca and Jos{\'{e}} Duato}, editor = {Guang R. Gao and Yu{-}Chee Tseng}, title = {PC-Mesh: {A} Dynamic Parallel Concentrated Mesh}, booktitle = {International Conference on Parallel Processing, {ICPP} 2011, Taipei, Taiwan, September 13-16, 2011}, pages = {642--651}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICPP.2011.21}, doi = {10.1109/ICPP.2011.21}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/CamachoFRD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/Escudero-SahuquilloGGFSLQD11, author = {Jes{\'{u}}s Escudero{-}Sahuquillo and Ernst Gunnar Gran and Pedro Javier Garc{\'{\i}}a and Jos{\'{e}} Flich and Tor Skeie and Olav Lysne and Francisco J. Quiles and Jos{\'{e}} Duato}, editor = {Guang R. Gao and Yu{-}Chee Tseng}, title = {Combining Congested-Flow Isolation and Injection Throttling in {HPC} Interconnection Networks}, booktitle = {International Conference on Parallel Processing, {ICPP} 2011, Taipei, Taiwan, September 13-16, 2011}, pages = {662--672}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICPP.2011.80}, doi = {10.1109/ICPP.2011.80}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/Escudero-SahuquilloGGFSLQD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/CuestaRGRD11, author = {Blas Cuesta and Alberto Ros and Mar{\'{\i}}a Engracia G{\'{o}}mez and Antonio Robles and Jos{\'{e}} Duato}, editor = {Ravi R. Iyer and Qing Yang and Antonio Gonz{\'{a}}lez}, title = {Increasing the effectiveness of directory caches by deactivating coherence for private memory blocks}, booktitle = {38th International Symposium on Computer Architecture {(ISCA} 2011), June 4-8, 2011, San Jose, CA, {USA}}, pages = {93--104}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2000064.2000076}, doi = {10.1145/2000064.2000076}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/CuestaRGRD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nca/VillarASAD11, author = {Juan A. Villar and Francisco J. Andujar and Jos{\'{e}} L. S{\'{a}}nchez and Francisco Jos{\'{e}} Alfaro and Jos{\'{e}} Duato}, title = {Evaluation of an Alternative for Increasing Switch Radix}, booktitle = {Proceedings of The Tenth {IEEE} International Symposium on Networking Computing and Applications, {NCA} 2011, August 25-27, 2011, Cambridge, Massachusetts, {USA}}, pages = {320--323}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/NCA.2011.56}, doi = {10.1109/NCA.2011.56}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nca/VillarASAD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/CanoFDCL11, author = {Jos{\'{e}} Cano and Jos{\'{e}} Flich and Jos{\'{e}} Duato and Marcello Coppola and Riccardo Locatelli}, editor = {Radu Marculescu and Michael Kishinevsky and Ran Ginosar and Karam S. Chatha}, title = {Efficient routing implementation in complex systems-on-chip}, booktitle = {{NOCS} 2011, Fifth {ACM/IEEE} International Symposium on Networks-on-Chip, Pittsburgh, Pennsylvania, USA, May 1-4, 2011}, pages = {1--8}, publisher = {{ACM/IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1145/1999946.1999948}, doi = {10.1145/1999946.1999948}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nocs/CanoFDCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/ValeroSPLD11, author = {Alejandro Valero and Julio Sahuquillo and Salvador Petit and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {Jean{-}Luc Gaudiot and Alba C. M. A. Melo and Alberto F. De Souza and Lucia Catabriga}, title = {MRU-Tour-based Replacement Algorithms for Last-Level Caches}, booktitle = {23rd International Symposium on Computer Architecture and High Performance Computing, {SBAC-PAD} 2011, Vit{\'{o}}ria, Esp{\'{\i}}rito Santo, Brazil, October 26-29, 2011}, pages = {112--119}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/SBAC-PAD.2011.13}, doi = {10.1109/SBAC-PAD.2011.13}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbac-pad/ValeroSPLD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/MorilloROD10, author = {Pedro Morillo and Silvia Rueda and Juan Manuel Ordu{\~{n}}a and Jos{\'{e}} Duato}, title = {Ensuring the performance and scalability of peer-to-peer distributed virtual environments}, journal = {Future Gener. Comput. Syst.}, volume = {26}, number = {7}, pages = {905--915}, year = {2010}, url = {https://doi.org/10.1016/j.future.2010.03.003}, doi = {10.1016/J.FUTURE.2010.03.003}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/MorilloROD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pc/AlonsoCMSLD10, author = {Marina Alonso and Salvador Coll and Juan{-}Miguel Mart{\'{\i}}nez and Vicente Santonja and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Power saving in regular interconnection networks}, journal = {Parallel Comput.}, volume = {36}, number = {12}, pages = {696--712}, year = {2010}, url = {https://doi.org/10.1016/j.parco.2010.08.003}, doi = {10.1016/J.PARCO.2010.08.003}, timestamp = {Sun, 20 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pc/AlonsoCMSLD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/FrinosFD10, author = {Teresa Nachiondo Frin{\'{o}}s and Jos{\'{e}} Flich and Jos{\'{e}} Duato}, title = {Buffer Management Strategies to Reduce HoL Blocking}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {21}, number = {6}, pages = {739--753}, year = {2010}, url = {https://doi.org/10.1109/TPDS.2009.63}, doi = {10.1109/TPDS.2009.63}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/FrinosFD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/PascualGAD10, author = {Ricardo Fern{\'{a}}ndez Pascual and Jos{\'{e}} M. Garc{\'{\i}}a and Manuel E. Acacio and Jos{\'{e}} Duato}, title = {Dealing with Transient Faults in the Interconnection Network of CMPs at the Cache Coherence Level}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {21}, number = {8}, pages = {1117--1131}, year = {2010}, url = {https://doi.org/10.1109/TPDS.2009.148}, doi = {10.1109/TPDS.2009.148}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/PascualGAD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/LiCDT10, author = {Zheng Li and Olivier Certner and Jos{\'{e}} Duato and Olivier Temam}, editor = {Valentina Salapura and Michael Gschwind and Jens Knoop}, title = {Scalable hardware support for conditional parallelization}, booktitle = {19th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2010, Vienna, Austria, September 11-15, 2010}, pages = {157--168}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1854273.1854297}, doi = {10.1145/1854273.1854297}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/LiCDT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/UbalSPLD10, author = {Rafael Ubal and Julio Sahuquillo and Salvador Petit and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {Valentina Salapura and Michael Gschwind and Jens Knoop}, title = {Exploiting subtrace-level parallelism in clustered processors}, booktitle = {19th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2010, Vienna, Austria, September 11-15, 2010}, pages = {555--556}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1854273.1854349}, doi = {10.1145/1854273.1854349}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/UbalSPLD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cluster/MontanerSFD10, author = {H{\'{e}}ctor Montaner and Federico Silla and Holger Fr{\"{o}}ning and Jos{\'{e}} Duato}, title = {Getting Rid of Coherency Overhead for Memory-Hungry Applications}, booktitle = {Proceedings of the 2010 {IEEE} International Conference on Cluster Computing, Heraklion, Crete, Greece, 20-24 September, 2010}, pages = {48--57}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/CLUSTER.2010.14}, doi = {10.1109/CLUSTER.2010.14}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cluster/MontanerSFD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HernandezSD10, author = {Carles Hern{\'{a}}ndez and Federico Silla and Jos{\'{e}} Duato}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {A methodology for the characterization of process variation in NoC links}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {685--690}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5457113}, doi = {10.1109/DATE.2010.5457113}, timestamp = {Fri, 14 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HernandezSD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/RocaFSD10, author = {Antoni Roca and Jos{\'{e}} Flich and Federico Silla and Jos{\'{e}} Duato}, editor = {Sebasti{\'{a}}n L{\'{o}}pez}, title = {A Latency-Efficient Router Architecture for {CMP} Systems}, booktitle = {13th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, {DSD} 2010, 1-3 September 2010, Lille, France}, pages = {165--172}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DSD.2010.42}, doi = {10.1109/DSD.2010.42}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/RocaFSD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/Escudero-SahuquilloGQD10, author = {Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a and Francisco J. Quiles and Jos{\'{e}} Duato}, editor = {Pasqua D'Ambra and Mario Rosario Guarracino and Domenico Talia}, title = {An Efficient Strategy for Reducing Head-of-Line Blocking in Fat-Trees}, booktitle = {Euro-Par 2010 - Parallel Processing, 16th International Euro-Par Conference, Ischia, Italy, August 31 - September 3, 2010, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {6272}, pages = {413--427}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-15291-7\_39}, doi = {10.1007/978-3-642-15291-7\_39}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/Escudero-SahuquilloGQD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/RocaFSD10, author = {Antoni Roca and Jos{\'{e}} Flich and Federico Silla and Jos{\'{e}} Duato}, title = {VCTlite: Towards an efficient implementation of virtual cut-through switching in on-chip networks}, booktitle = {2010 International Conference on High Performance Computing, HiPC 2010, Dona Paula, Goa, India, December 19-22, 2010}, pages = {1--12}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/HIPC.2010.5713170}, doi = {10.1109/HIPC.2010.5713170}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipc/RocaFSD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/RosCPGARGD10, author = {Alberto Ros and Blas Cuesta and Ricardo Fern{\'{a}}ndez Pascual and Mar{\'{\i}}a Engracia G{\'{o}}mez and Manuel E. Acacio and Antonio Robles and Jos{\'{e}} M. Garc{\'{\i}}a and Jos{\'{e}} Duato}, title = {EMC\({}^{\mbox{2}}\): Extending Magny-Cours coherence for large-scale servers}, booktitle = {2010 International Conference on High Performance Computing, HiPC 2010, Dona Paula, Goa, India, December 19-22, 2010}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/HIPC.2010.5713176}, doi = {10.1109/HIPC.2010.5713176}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipc/RosCPGARGD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/SerranoSHPD10, author = {Monica Serrano and Julio Sahuquillo and Houcine Hassan and Salvador Petit and Jos{\'{e}} Duato}, title = {A Scheduling Heuristic to Handle Local and Remote Memory in Cluster Computers}, booktitle = {12th {IEEE} International Conference on High Performance Computing and Communications, {HPCC} 2010, 1-3 September 2010, Melbourne, Australia}, pages = {35--42}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/HPCC.2010.75}, doi = {10.1109/HPCC.2010.75}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/SerranoSHPD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpdc/MontanerSD10, author = {H{\'{e}}ctor Montaner and Federico Silla and Jos{\'{e}} Duato}, editor = {Salim Hariri and Kate Keahey}, title = {A practical way to extend shared memory support beyond a motherboard at low cost}, booktitle = {Proceedings of the 19th {ACM} International Symposium on High Performance Distributed Computing, {HPDC} 2010, Chicago, Illinois, USA, June 21-25, 2010}, pages = {155--166}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1851476.1851495}, doi = {10.1145/1851476.1851495}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpdc/MontanerSD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/MarchSHPD10, author = {Jos{\'{e}} Luis March and Julio Sahuquillo and Houcine Hassan and Salvador Petit and Jos{\'{e}} Duato}, editor = {Ching{-}Hsien Hsu and Laurence Tianruo Yang and Jong Hyuk Park and Sang{-}Soo Yeo}, title = {Extending a Multicore Multithread Simulator to Model Power-Aware Hard Real-Time Systems}, booktitle = {Algorithms and Architectures for Parallel Processing, 10th International Conference, {ICA3PP} 2010, Busan, Korea, May 21-23, 2010. Proceedings. Part {II}}, series = {Lecture Notes in Computer Science}, volume = {6082}, pages = {444--453}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-13136-3\_45}, doi = {10.1007/978-3-642-13136-3\_45}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/MarchSHPD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/Escudero-SahuquilloGQFD10, author = {Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a and Francisco J. Quiles and Jos{\'{e}} Flich and Jos{\'{e}} Duato}, title = {Cost-Effective Congestion Management for Interconnection Networks Using Distributed Deterministic Routing}, booktitle = {16th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2010, Shanghai, China, December 8-10, 2010}, pages = {355--364}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICPADS.2010.14}, doi = {10.1109/ICPADS.2010.14}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/Escudero-SahuquilloGQFD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/DuatoPSMQ10, author = {Jos{\'{e}} Duato and Antonio J. Pe{\~{n}}a and Federico Silla and Rafael Mayo and Enrique S. Quintana{-}Ort{\'{\i}}}, editor = {Waleed W. Smari and John P. McIntire}, title = {rCUDA: Reducing the number of GPU-based accelerators in high performance clusters}, booktitle = {Proceedings of the 2010 International Conference on High Performance Computing {\&} Simulation, {HPCS} 2010, June 28 - July 2, 2010, Caen, France}, pages = {224--231}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/HPCS.2010.5547126}, doi = {10.1109/HPCS.2010.5547126}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeehpcs/DuatoPSMQ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/RodrigoFRMBCSD10, author = {Samuel Rodrigo and Jos{\'{e}} Flich and Antoni Roca and Simone Medardoni and Davide Bertozzi and Jes{\'{u}}s Camacho Villanueva and Federico Silla and Jos{\'{e}} Duato}, title = {Addressing Manufacturing Challenges with Cost-Efficient Fault Tolerant Routing}, booktitle = {{NOCS} 2010, Fourth {ACM/IEEE} International Symposium on Networks-on-Chip, Grenoble, France, May 3-6, 2010}, pages = {25--32}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/NOCS.2010.12}, doi = {10.1109/NOCS.2010.12}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nocs/RodrigoFRMBCSD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/HernandezRSFD10, author = {Carles Hern{\'{a}}ndez and Antoni Roca and Federico Silla and Jos{\'{e}} Flich and Jos{\'{e}} Duato}, title = {Improving the Performance of GALS-Based NoCs in the Presence of Process Variation}, booktitle = {{NOCS} 2010, Fourth {ACM/IEEE} International Symposium on Networks-on-Chip, Grenoble, France, May 3-6, 2010}, pages = {35--42}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/NOCS.2010.13}, doi = {10.1109/NOCS.2010.13}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nocs/HernandezRSFD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/FerrerBRLD10, author = {Joan{-}Llu{\'{\i}}s Ferrer and Elvira Baydal and Antonio Robles and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {Marco Danelutto and Julien Bourgeois and Tom Gross}, title = {A Scalable and Early Congestion Management Mechanism for MINs}, booktitle = {Proceedings of the 18th Euromicro Conference on Parallel, Distributed and Network-based Processing, {PDP} 2010, Pisa, Italy, February 17-19, 2010}, pages = {43--50}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/PDP.2010.36}, doi = {10.1109/PDP.2010.36}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/FerrerBRLD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/RayoBMPD10, author = {Diana Bautista Rayo and Julio Sahuquillo Borr{\'{a}}s and Houcine Hassan Mohamed and Salvador Petit and Jos{\'{e}} Duato}, editor = {Marco Danelutto and Julien Bourgeois and Tom Gross}, title = {Balancing Task Resource Requirements in Embedded Multithreaded Multicore Processors to Reduce Power Consumption}, booktitle = {Proceedings of the 18th Euromicro Conference on Parallel, Distributed and Network-based Processing, {PDP} 2010, Pisa, Italy, February 17-19, 2010}, pages = {200--204}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/PDP.2010.64}, doi = {10.1109/PDP.2010.64}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/RayoBMPD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/BautistaSHPD10, author = {Diana Bautista and Julio Sahuquillo and Houcine Hassan and Salvador Petit and Jos{\'{e}} Duato}, editor = {Sung Y. Shin and Sascha Ossowski and Michael Schumacher and Mathew J. Palakal and Chih{-}Cheng Hung}, title = {Dynamic task set partitioning based on balancing resource requirements and utilization to reduce power consumption}, booktitle = {Proceedings of the 2010 {ACM} Symposium on Applied Computing (SAC), Sierre, Switzerland, March 22-26, 2010}, pages = {521--526}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1774088.1774195}, doi = {10.1145/1774088.1774195}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/BautistaSHPD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cdt/RodrigoMFBD09, author = {Samuel Rodrigo and Simone Medardoni and Jos{\'{e}} Flich and Davide Bertozzi and Jos{\'{e}} Duato}, title = {Efficient implementation of distributed routing algorithms for NoCs}, journal = {{IET} Comput. Digit. Tech.}, volume = {3}, number = {5}, pages = {460--475}, year = {2009}, url = {https://doi.org/10.1049/iet-cdt.2008.0092}, doi = {10.1049/IET-CDT.2008.0092}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iet-cdt/RodrigoMFBD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/AlfaroSD09, author = {Francisco Jos{\'{e}} Alfaro and Jos{\'{e}} L. S{\'{a}}nchez and Jos{\'{e}} Duato}, title = {A new strategy to manage the InfiniBand arbitration tables}, journal = {J. Parallel Distributed Comput.}, volume = {69}, number = {6}, pages = {508--520}, year = {2009}, url = {https://doi.org/10.1016/j.jpdc.2009.02.002}, doi = {10.1016/J.JPDC.2009.02.002}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/AlfaroSD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/MartiBRTM09, author = {Salvador Petit Marti and Julio Sahuquillo Borr{\'{a}}s and Pedro Juan L{\'{o}}pez Rodr{\'{\i}}guez and Rafael Ubal Tena and Jos{\'{e}} Duato Mar{\'{\i}}n}, title = {A Complexity-Effective Out-of-Order Retirement Microarchitecture}, journal = {{IEEE} Trans. Computers}, volume = {58}, number = {12}, pages = {1626--1639}, year = {2009}, url = {https://doi.org/10.1109/TC.2009.95}, doi = {10.1109/TC.2009.95}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/MartiBRTM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/MartinezGASFQD09, author = {Alejandro Mart{\'{\i}}nez and Pedro Javier Garc{\'{\i}}a and Francisco Jos{\'{e}} Alfaro and Jos{\'{e}} L. S{\'{a}}nchez and Jos{\'{e}} Flich and Francisco J. Quiles and Jos{\'{e}} Duato}, title = {A Switch Architecture Guaranteeing QoS Provision and {HOL} Blocking Elimination}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {20}, number = {1}, pages = {13--24}, year = {2009}, url = {https://doi.org/10.1109/TPDS.2008.62}, doi = {10.1109/TPDS.2008.62}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/MartinezGASFQD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/CollMDP09, author = {Salvador Coll and Francisco J. Mora and Jos{\'{e}} Duato and Fabrizio Petrini}, title = {Efficient and Scalable Hardware-Based Multicast in Fat-Tree Networks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {20}, number = {9}, pages = {1285--1298}, year = {2009}, url = {https://doi.org/10.1109/TPDS.2008.228}, doi = {10.1109/TPDS.2008.228}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/CollMDP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/MorilloOD09, author = {Pedro Morillo and Juan M. Ordu{\~{n}}a and Jos{\'{e}} Duato}, title = {{M-GRASP:} {A} {GRASP} With Memory for Latency-Aware Partitioning Methods in {DVE} Systems}, journal = {{IEEE} Trans. Syst. Man Cybern. Part {A}}, volume = {39}, number = {6}, pages = {1214--1223}, year = {2009}, url = {https://doi.org/10.1109/TSMCA.2009.2025024}, doi = {10.1109/TSMCA.2009.2025024}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsmc/MorilloOD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/MejiaPFKLHD09, author = {Andres Mejia and Maurizio Palesi and Jos{\'{e}} Flich and Shashi Kumar and Pedro L{\'{o}}pez and Rickard Holsmark and Jos{\'{e}} Duato}, title = {Region-Based Routing: {A} Mechanism to Support Efficient Routing Algorithms in NoCs}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {17}, number = {3}, pages = {356--369}, year = {2009}, url = {https://doi.org/10.1109/TVLSI.2008.2012010}, doi = {10.1109/TVLSI.2008.2012010}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/MejiaPFKLHD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/PetitUSLD09, author = {Salvador Petit and Rafael Ubal and Julio Sahuquillo and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {Antonio N{\'{u}}{\~{n}}ez and Pedro P. Carballo}, title = {An Efficient Low-Complexity Alternative to the {ROB} for Out-of-Order Retirement of Instructions}, booktitle = {12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, {DSD} 2009, 27-29 August 2009, Patras, Greece}, pages = {635--642}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/DSD.2009.237}, doi = {10.1109/DSD.2009.237}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/PetitUSLD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/DuatoIMPQS09, author = {Jos{\'{e}} Duato and Francisco D. Igual and Rafael Mayo and Antonio J. Pe{\~{n}}a and Enrique S. Quintana{-}Ort{\'{\i}} and Federico Silla}, editor = {Hai{-}Xiang Lin and Michael Alexander and Martti Forsell and Andreas Kn{\"{u}}pfer and Radu Prodan and Leonel Sousa and Achim Streit}, title = {An Efficient Implementation of {GPU} Virtualization in High Performance Clusters}, booktitle = {Euro-Par 2009 - Parallel Processing Workshops, HPPC, HeteroPar, PROPER, ROIA, UNICORE, VHPC, Delft, The Netherlands, August 25-28, 2009, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {6043}, pages = {385--394}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-14122-5\_44}, doi = {10.1007/978-3-642-14122-5\_44}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/DuatoIMPQS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/ChirivellaAFD09, author = {Vicente Chirivella and Rosa Alcover and Jos{\'{e}} Flich and Jos{\'{e}} Duato}, editor = {Henk J. Sips and Dick H. J. Epema and Hai{-}Xiang Lin}, title = {Dependability Analysis of a Fault-Tolerant Network Reconfiguring Strategy}, booktitle = {Euro-Par 2009 Parallel Processing, 15th International Euro-Par Conference, Delft, The Netherlands, August 25-28, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5704}, pages = {1040--1051}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-03869-3\_96}, doi = {10.1007/978-3-642-03869-3\_96}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/europar/ChirivellaAFD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Duato09, author = {Jos{\'{e}} Duato}, title = {HyperTransport{\texttrademark} technology tutorial}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--53}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478335}, doi = {10.1109/HOTCHIPS.2009.7478335}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Duato09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/DuatoSA09, author = {Jos{\'{e}} Duato and Robert J. Safranek and Jasmin Ajanovic}, title = {Tutorial {\#}1: Modern system interconnects}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--7}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478334}, doi = {10.1109/HOTCHIPS.2009.7478334}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/DuatoSA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BautistaSHPD09, author = {Diana Bautista and Julio Sahuquillo and Houcine Hassan and Salvador Petit and Jos{\'{e}} Duato}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {Dynamic task set partitioning based on balancing memory requirements to reduce power consumption}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {513--514}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542356}, doi = {10.1145/1542275.1542356}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/BautistaSHPD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/HernandezSSD09, author = {Carles Hern{\'{a}}ndez and Federico Silla and Vicente Santonja and Jos{\'{e}} Duato}, title = {A new mechanism to deal with process variability in NoC links}, booktitle = {23rd {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2009, Rome, Italy, May 23-29, 2009}, pages = {1--11}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/IPDPS.2009.5161048}, doi = {10.1109/IPDPS.2009.5161048}, timestamp = {Fri, 14 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/HernandezSSD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/RodrigoHFSDMBMD09, author = {Samuel Rodrigo and Carles Hern{\'{a}}ndez and Jos{\'{e}} Flich and Federico Silla and Jos{\'{e}} Duato and Simone Medardoni and Davide Bertozzi and Andres Mejia and Donglai Dai}, title = {Yield-oriented evaluation methodology of network-on-chip routing implementations}, booktitle = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009, Tampere, Finland, October 6-7, 2008}, pages = {100--105}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/SOCC.2009.5335667}, doi = {10.1109/SOCC.2009.5335667}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/issoc/RodrigoHFSDMBMD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/VillanuevaFDEGO09, author = {Jes{\'{u}}s Camacho Villanueva and Jos{\'{e}} Flich and Jos{\'{e}} Duato and Hans Eberle and Nils Gura and Wladek Olesinski}, editor = {Maurizio Palesi and Shashi Kumar}, title = {A performance evaluation of 2D-mesh, ring, and crossbar interconnects for chip multi-processors}, booktitle = {Second International Workshop on Network on Chip Architectures, NoCArc 2009, In conjunction with the 42nd Annual {IEEE/ACM} International Symposium on Microarchitecture (MICRO-42), December 12, 2009, New York, NY, {USA}}, pages = {51--56}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1645213.1645226}, doi = {10.1145/1645213.1645226}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/VillanuevaFDEGO09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/ValeroSPLCLD09, author = {Alejandro Valero and Julio Sahuquillo and Salvador Petit and Vicente Lorente and Ramon Canal and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {David H. Albonesi and Margaret Martonosi and David I. August and Jos{\'{e}} F. Mart{\'{\i}}nez}, title = {An hybrid eDRAM/SRAM macrocell to implement first-level data caches}, booktitle = {42st Annual {IEEE/ACM} International Symposium on Microarchitecture {(MICRO-42} 2009), December 12-16, 2009, New York, New York, {USA}}, pages = {213--221}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1669112.1669140}, doi = {10.1145/1669112.1669140}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/ValeroSPLCLD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/FlichD07, author = {Jos{\'{e}} Flich and Jos{\'{e}} Duato}, title = {Logic-Based Distributed Routing for NoCs}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {7}, number = {1}, pages = {13--16}, year = {2008}, url = {https://doi.org/10.1109/L-CA.2007.16}, doi = {10.1109/L-CA.2007.16}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/FlichD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/GomezVGLD08, author = {Crisp{\'{\i}}n G{\'{o}}mez Requena and Francisco Gilabert Villam{\'{o}}n and Mar{\'{\i}}a Engracia G{\'{o}}mez and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Beyond Fat-tree: Unidirectional Load--Balanced Multistage Interconnection Network}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {7}, number = {2}, pages = {49--52}, year = {2008}, url = {https://doi.org/10.1109/L-CA.2008.8}, doi = {10.1109/L-CA.2008.8}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/GomezVGLD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/Robles-GomezBCQSD08, author = {Antonio Robles{-}G{\'{o}}mez and Aurelio Berm{\'{u}}dez and Rafael Casado and Francisco J. Quiles and Tor Skeie and Jos{\'{e}} Duato}, title = {A proposal for managing {ASI} fabrics}, journal = {J. Syst. Archit.}, volume = {54}, number = {7}, pages = {664--678}, year = {2008}, url = {https://doi.org/10.1016/j.sysarc.2007.12.002}, doi = {10.1016/J.SYSARC.2007.12.002}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/Robles-GomezBCQSD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scpe/FlichRDSSSL08, author = {Jos{\'{e}} Flich and Samuel Rodrigo and Jos{\'{e}} Duato and Thomas S{\o}dring and {\AA}shild Gr{\o}nstad Solheim and Tor Skeie and Olav Lysne}, title = {On the Potential of NoC Virtualization for Multicore Chips}, journal = {Scalable Comput. Pract. Exp.}, volume = {9}, number = {3}, year = {2008}, url = {http://www.scpe.org/index.php/scpe/article/view/537}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scpe/FlichRDSSSL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LysneMFDPS08, author = {Olav Lysne and Jos{\'{e}} Miguel Monta{\~{n}}ana and Jos{\'{e}} Flich and Jos{\'{e}} Duato and Timothy Mark Pinkston and Tor Skeie}, title = {An Efficient and Deadlock-Free Network Reconfiguration Protocol}, journal = {{IEEE} Trans. Computers}, volume = {57}, number = {6}, pages = {762--779}, year = {2008}, url = {https://doi.org/10.1109/TC.2008.31}, doi = {10.1109/TC.2008.31}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/LysneMFDPS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/Martinez-VicenteAASD08, author = {Alejandro Mart{\'{\i}}nez{-}Vicente and George Apostolopoulos and Francisco Jos{\'{e}} Alfaro and Jos{\'{e}} L. S{\'{a}}nchez and Jos{\'{e}} Duato}, title = {Efficient Deadline-Based QoS Algorithms for High-Performance Networks}, journal = {{IEEE} Trans. Computers}, volume = {57}, number = {7}, pages = {928--939}, year = {2008}, url = {https://doi.org/10.1109/TC.2008.39}, doi = {10.1109/TC.2008.39}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/Martinez-VicenteAASD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/PascualGAD08, author = {Ricardo Fern{\'{a}}ndez Pascual and Jos{\'{e}} M. Garc{\'{\i}}a and Manuel E. Acacio and Jos{\'{e}} Duato}, title = {Extending the TokenCMP Cache Coherence Protocol for Low Overhead Fault Tolerance in {CMP} Architectures}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {19}, number = {8}, pages = {1044--1056}, year = {2008}, url = {https://doi.org/10.1109/TPDS.2007.70803}, doi = {10.1109/TPDS.2007.70803}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/PascualGAD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/FlichRDSSSL08, author = {Jos{\'{e}} Flich and Samuel Rodrigo and Jos{\'{e}} Duato and Thomas S{\o}dring and {\AA}shild Gr{\o}nstad Solheim and Tor Skeie and Olav Lysne}, editor = {Fatos Xhafa and Leonard Barolli}, title = {On the Potential of NoC Virtualization for Multicore Chips}, booktitle = {Second International Conference on Complex, Intelligent and Software Intensive Systems (CISIS-2008), March 4th-7th, 2008, Technical University of Catalonia, Barcelona, Spain}, pages = {801--807}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/CISIS.2008.97}, doi = {10.1109/CISIS.2008.97}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/FlichRDSSSL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/TorneroOMFD08, author = {Rafael Tornero and Juan Manuel Ordu{\~{n}}a and Andres Mejia and Jos{\'{e}} Flich and Jos{\'{e}} Duato}, editor = {Luca Fanucci}, title = {{CART:} Communication-Aware Routing Technique for Application-Specific NoCs}, booktitle = {11th Euromicro Conference on Digital System Design: Architectures, Methods and Tools, {DSD} 2008, Parma, Italy, September 3-5, 2008}, pages = {26--31}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/DSD.2008.19}, doi = {10.1109/DSD.2008.19}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/TorneroOMFD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/PascualGAD08, author = {Ricardo Fern{\'{a}}ndez Pascual and Jos{\'{e}} M. Garc{\'{\i}}a and Manuel E. Acacio and Jos{\'{e}} Duato}, title = {A fault-tolerant directory-based cache coherence protocol for {CMP} architectures}, booktitle = {The 38th Annual {IEEE/IFIP} International Conference on Dependable Systems and Networks, {DSN} 2008, June 24-27, 2008, Anchorage, Alaska, USA, Proceedings}, pages = {267--276}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/DSN.2008.4630095}, doi = {10.1109/DSN.2008.4630095}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsn/PascualGAD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/RequenaGLD08, author = {Crisp{\'{\i}}n G{\'{o}}mez Requena and Mar{\'{\i}}a Engracia G{\'{o}}mez and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {Emilio Luque and Tom{\`{a}}s Margalef and Domingo Benitez}, title = {Reducing Packet Dropping in a Bufferless NoC}, booktitle = {Euro-Par 2008 - Parallel Processing, 14th International Euro-Par Conference, Las Palmas de Gran Canaria, Spain, August 26-29, 2008, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5168}, pages = {899--909}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-85451-7\_97}, doi = {10.1007/978-3-540-85451-7\_97}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/RequenaGLD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/TorneroOPD08, author = {Rafael Tornero and Juan M. Ordu{\~{n}}a and Maurizio Palesi and Jos{\'{e}} Duato}, editor = {Emilio Luque and Tom{\`{a}}s Margalef and Domingo Benitez}, title = {A Communication-Aware Topological Mapping Technique for NoCs}, booktitle = {Euro-Par 2008 - Parallel Processing, 14th International Euro-Par Conference, Las Palmas de Gran Canaria, Spain, August 26-29, 2008, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5168}, pages = {910--919}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-85451-7\_98}, doi = {10.1007/978-3-540-85451-7\_98}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/TorneroOPD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/FerrerBRLD08, author = {Joan{-}Llu{\'{\i}}s Ferrer and Elvira Baydal and Antonio Robles and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {Emilio Luque and Tom{\`{a}}s Margalef and Domingo Benitez}, title = {On the Influence of the Packet Marking and Injection Control Schemes in Congestion Management for MINs}, booktitle = {Euro-Par 2008 - Parallel Processing, 14th International Euro-Par Conference, Las Palmas de Gran Canaria, Spain, August 26-29, 2008, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5168}, pages = {930--939}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-85451-7\_100}, doi = {10.1007/978-3-540-85451-7\_100}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/FerrerBRLD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/Escudero-SahuquilloGQFD08, author = {Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a and Francisco J. Quiles and Jos{\'{e}} Flich and Jos{\'{e}} Duato}, editor = {P. Sadayappan and Manish Parashar and Ramamurthy Badrinath and Viktor K. Prasanna}, title = {{FBICM:} Efficient Congestion Management for High-Performance Networks Using Distributed Deterministic Routing}, booktitle = {High Performance Computing - HiPC 2008, 15th International Conference, Bangalore, India, December 17-20, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5374}, pages = {503--517}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-89894-8\_44}, doi = {10.1007/978-3-540-89894-8\_44}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipc/Escudero-SahuquilloGQFD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/Fernandez-PascualGAD08, author = {Ricardo Fern{\'{a}}ndez Pascual and Jos{\'{e}} M. Garc{\'{\i}}a and Manuel E. Acacio and Jos{\'{e}} Duato}, editor = {P. Sadayappan and Manish Parashar and Ramamurthy Badrinath and Viktor K. Prasanna}, title = {Fault-Tolerant Cache Coherence Protocols for CMPs: Evaluation and Trade-Offs}, booktitle = {High Performance Computing - HiPC 2008, 15th International Conference, Bangalore, India, December 17-20, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5374}, pages = {555--568}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-89894-8\_48}, doi = {10.1007/978-3-540-89894-8\_48}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipc/Fernandez-PascualGAD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/RequenaVGRD08, author = {Crisp{\'{\i}}n G{\'{o}}mez Requena and Francisco Gilabert Villam{\'{o}}n and Mar{\'{\i}}a Engracia G{\'{o}}mez and Pedro Juan L{\'{o}}pez Rodr{\'{\i}}guez and Jos{\'{e}} Duato}, title = {{RUFT:} Simplifying the Fat-Tree Topology}, booktitle = {14th International Conference on Parallel and Distributed Systems, {ICPADS} 2008, Melbourne, Victoria, Australia, December 8-10, 2008}, pages = {153--160}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICPADS.2008.44}, doi = {10.1109/ICPADS.2008.44}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/RequenaVGRD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/RequenaGRD08, author = {Crisp{\'{\i}}n G{\'{o}}mez Requena and Mar{\'{\i}}a Engracia G{\'{o}}mez and Pedro Juan L{\'{o}}pez Rodr{\'{\i}}guez and Jos{\'{e}} Duato}, title = {An Efficient Switching Technique for NoCs with Reduced Buffer Requirements}, booktitle = {14th International Conference on Parallel and Distributed Systems, {ICPADS} 2008, Melbourne, Victoria, Australia, December 8-10, 2008}, pages = {713--720}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICPADS.2008.43}, doi = {10.1109/ICPADS.2008.43}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/RequenaGRD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/MontanerSSD08, author = {H{\'{e}}ctor Montaner and Federico Silla and Vicente Santonja and Jos{\'{e}} Duato}, title = {Network Reconfiguration Suitability for Scientific Applications}, booktitle = {2008 International Conference on Parallel Processing, {ICPP} 2008, September 8-12, 2008, Portland, Oregon, {USA}}, pages = {312--319}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICPP.2008.58}, doi = {10.1109/ICPP.2008.58}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/MontanerSSD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/MejiaFD08, author = {Andres Mejia and Jos{\'{e}} Flich and Jos{\'{e}} Duato}, title = {On the Potentials of Segment-Based Routing for NoCs}, booktitle = {2008 International Conference on Parallel Processing, {ICPP} 2008, September 8-12, 2008, Portland, Oregon, {USA}}, pages = {594--603}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICPP.2008.56}, doi = {10.1109/ICPP.2008.56}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/MejiaFD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/BautistaSHPD08, author = {Diana Bautista and Julio Sahuquillo and Houcine Hassan and Salvador Petit and Jos{\'{e}} Duato}, title = {A simple power-aware scheduling for multicore systems when running real-time applications}, booktitle = {22nd {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2008, Miami, Florida USA, April 14-18, 2008}, pages = {1--7}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/IPDPS.2008.4536220}, doi = {10.1109/IPDPS.2008.4536220}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/BautistaSHPD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/MontananaFD08, author = {Jos{\'{e}} Miguel Monta{\~{n}}ana and Jos{\'{e}} Flich and Jos{\'{e}} Duato}, title = {Epoch-based reconfiguration: Fast, simple, and effective dynamic network reconfiguration}, booktitle = {22nd {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2008, Miami, Florida USA, April 14-18, 2008}, pages = {1--12}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/IPDPS.2008.4536298}, doi = {10.1109/IPDPS.2008.4536298}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/MontananaFD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/UbalSPLD08, author = {Rafael Ubal and Julio Sahuquillo and Salvador Petit and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {The impact of out-of-order commit in coarse-grain, fine-grain and simultaneous multithreaded architectures}, booktitle = {22nd {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2008, Miami, Florida USA, April 14-18, 2008}, pages = {1--11}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/IPDPS.2008.4536284}, doi = {10.1109/IPDPS.2008.4536284}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/UbalSPLD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/RodrigoFDH08, author = {Samuel Rodrigo and Jos{\'{e}} Flich and Jos{\'{e}} Duato and Mark Hummel}, title = {Efficient unicast and multicast support for CMPs}, booktitle = {41st Annual {IEEE/ACM} International Symposium on Microarchitecture {(MICRO-41} 2008), November 8-12, 2008, Lake Como, Italy}, pages = {364--375}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/MICRO.2008.4771805}, doi = {10.1109/MICRO.2008.4771805}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/RodrigoFDH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/FlichRD08, author = {Jos{\'{e}} Flich and Samuel Rodrigo and Jos{\'{e}} Duato}, title = {An Efficient Implementation of Distributed Routing Algorithms for NoCs}, booktitle = {Second International Symposium on Networks-on-Chips, {NOCS} 2008, 5-6 April 2008, Newcastle University, {UK.} Proceedings}, pages = {87--96}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.ieeecomputersociety.org/10.1109/NOCS.2008.28}, doi = {10.1109/NOCS.2008.28}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nocs/FlichRD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/GilabertMBBGLD08, author = {Francisco Gilabert Villam{\'{o}}n and Simone Medardoni and Davide Bertozzi and Luca Benini and Mar{\'{\i}}a Engracia G{\'{o}}mez and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Exploring High-Dimensional Topologies for NoC Design Through an Integrated Analysis and Synthesis Framework}, booktitle = {Second International Symposium on Networks-on-Chips, {NOCS} 2008, 5-6 April 2008, Newcastle University, {UK.} Proceedings}, pages = {107--116}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.ieeecomputersociety.org/10.1109/NOCS.2008.14}, doi = {10.1109/NOCS.2008.14}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nocs/GilabertMBBGLD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdcat/CuestaRD08, author = {Blas Cuesta and Antonio Robles and Jos{\'{e}} Duato}, title = {Switch-Based Packing Technique for Improving Token Coherence Scalability}, booktitle = {Ninth International Conference on Parallel and Distributed Computing, Applications and Technologies, {PDCAT} 2008, Dunedin, Otago, New Zealand, 1-4 December 2008}, pages = {83--90}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/PDCAT.2008.25}, doi = {10.1109/PDCAT.2008.25}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdcat/CuestaRD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/RequenaGLD08, author = {Crisp{\'{\i}}n G{\'{o}}mez Requena and Mar{\'{\i}}a Engracia G{\'{o}}mez and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Exploiting Wiring Resources on Interconnection Network: Increasing Path Diversity}, booktitle = {16th Euromicro International Conference on Parallel, Distributed and Network-Based Processing {(PDP} 2008), 13-15 February 2008, Toulouse, France}, pages = {20--29}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/PDP.2008.33}, doi = {10.1109/PDP.2008.33}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/RequenaGLD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/CuestaRD08, author = {Blas Cuesta and Antonio Robles and Jos{\'{e}} Duato}, title = {Improving Token Coherence by Multicast Coherence Messages}, booktitle = {16th Euromicro International Conference on Parallel, Distributed and Network-Based Processing {(PDP} 2008), 13-15 February 2008, Toulouse, France}, pages = {269--273}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/PDP.2008.36}, doi = {10.1109/PDP.2008.36}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/CuestaRD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/EberleGFDDGHO08, author = {Hans Eberle and Pedro Javier Garc{\'{\i}}a and Jos{\'{e}} Flich and Jos{\'{e}} Duato and Robert J. Drost and Nils Gura and David Hopkins and Wladek Olesinski}, title = {High-radix crossbar switches enabled by proximity communication}, booktitle = {Proceedings of the {ACM/IEEE} Conference on High Performance Computing, {SC} 2008, November 15-21, 2008, Austin, Texas, {USA}}, pages = {32}, publisher = {{IEEE/ACM}}, year = {2008}, url = {https://doi.org/10.1109/SC.2008.5219754}, doi = {10.1109/SC.2008.5219754}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/EberleGFDDGHO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/RuedaMOD07, author = {Silvia Rueda and Pedro Morillo and Juan M. Ordu{\~{n}}a and Jos{\'{e}} Duato}, title = {A genetic approach for adding QoS to distributed virtual environments}, journal = {Comput. Commun.}, volume = {30}, number = {4}, pages = {731--739}, year = {2007}, url = {https://doi.org/10.1016/j.comcom.2006.08.015}, doi = {10.1016/J.COMCOM.2006.08.015}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/RuedaMOD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/AlfaroSMD07, author = {Francisco Jos{\'{e}} Alfaro and Jos{\'{e}} L. S{\'{a}}nchez and M. Mendui{\~{n}}a and Jos{\'{e}} Duato}, title = {A Formal Model to Manage the InfiniBand Arbitration Tables Providing QoS}, journal = {{IEEE} Trans. Computers}, volume = {56}, number = {8}, pages = {1024--1039}, year = {2007}, url = {https://doi.org/10.1109/TC.2007.1051}, doi = {10.1109/TC.2007.1051}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/AlfaroSMD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/BermudezCQD07, author = {Aurelio Berm{\'{u}}dez and Rafael Casado and Francisco J. Quiles and Jos{\'{e}} Duato}, title = {Handling Topology Changes in InfiniBand}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {18}, number = {2}, pages = {172--185}, year = {2007}, url = {https://doi.org/10.1109/TPDS.2007.26}, doi = {10.1109/TPDS.2007.26}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/BermudezCQD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/KimYDYD07, author = {Eun Jung Kim and Ki Hwan Yum and Chita R. Das and Mazin S. Yousif and Jos{\'{e}} Duato}, title = {Exploring {IBA} Design Space for Improved Performance}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {18}, number = {4}, pages = {498--510}, year = {2007}, url = {https://doi.org/10.1109/TPDS.2007.1010}, doi = {10.1109/TPDS.2007.1010}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/KimYDYD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/MorilloROD07, author = {Pedro Morillo and Silvia Rueda and Juan M. Ordu{\~{n}}a and Jos{\'{e}} Duato}, title = {A Latency-Aware Partitioning Method for Distributed Virtual Environment Systems}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {18}, number = {9}, pages = {1215--1226}, year = {2007}, url = {https://doi.org/10.1109/TPDS.2007.1055}, doi = {10.1109/TPDS.2007.1055}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/MorilloROD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/MartinezASQD07, author = {Alejandro Mart{\'{\i}}nez and Francisco Jos{\'{e}} Alfaro and Jos{\'{e}} L. S{\'{a}}nchez and Francisco J. Quiles and Jos{\'{e}} Duato}, title = {A New Cost-Effective Technique for QoS Support in Clusters}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {18}, number = {12}, pages = {1714--1726}, year = {2007}, url = {https://doi.org/10.1109/TPDS.2007.1108}, doi = {10.1109/TPDS.2007.1108}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/MartinezASQD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/UbalSPLD07, author = {Rafael Ubal and Julio Sahuquillo and Salvador Petit and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {{VB-MT:} Design Issues and Performance of the Validation Buffer Microarchitecture for Multithreaded Processors}, booktitle = {16th International Conference on Parallel Architectures and Compilation Techniques {(PACT} 2007), Brasov, Romania, September 15-19, 2007}, pages = {429}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.ieeecomputersociety.org/10.1109/PACT.2007.78}, doi = {10.1109/PACT.2007.78}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/UbalSPLD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/Martinez-VicenteGASFQD07, author = {Alejandro Mart{\'{\i}}nez{-}Vicente and Pedro Javier Garc{\'{\i}}a and Francisco Jos{\'{e}} Alfaro and Jos{\'{e}} L. S{\'{a}}nchez and Jos{\'{e}} Flich and Francisco J. Quiles and Jos{\'{e}} Duato}, editor = {Anne{-}Marie Kermarrec and Luc Boug{\'{e}} and Thierry Priol}, title = {Integrated QoS Provision and Congestion Management for Interconnection Networks}, booktitle = {Euro-Par 2007, Parallel Processing, 13th International Euro-Par Conference, Rennes, France, August 28-31, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4641}, pages = {837--847}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74466-5\_90}, doi = {10.1007/978-3-540-74466-5\_90}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/europar/Martinez-VicenteGASFQD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/PascualGAD07, author = {Ricardo Fern{\'{a}}ndez Pascual and Jos{\'{e}} M. Garc{\'{\i}}a and Manuel E. Acacio and Jos{\'{e}} Duato}, title = {A Low Overhead Fault Tolerant Coherence Protocol for {CMP} Architectures}, booktitle = {13st International Conference on High-Performance Computer Architecture {(HPCA-13} 2007), 10-14 February 2007, Phoenix, Arizona, {USA}}, pages = {157--168}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/HPCA.2007.346194}, doi = {10.1109/HPCA.2007.346194}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/PascualGAD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/AlonsoCSMLD07, author = {Marina Alonso and Salvador Coll and Vicente Santonja and Juan{-}Miguel Mart{\'{\i}}nez and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {Ronald H. Perrott and Barbara M. Chapman and Jaspal Subhlok and Rodrigo Fernandes de Mello and Laurence Tianruo Yang}, title = {Power-Aware Fat-Tree Networks Using On/Off Links}, booktitle = {High Performance Computing and Communications, Third International Conference, {HPCC} 2007, Houston, USA, September 26-28, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4782}, pages = {472--483}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-75444-2\_46}, doi = {10.1007/978-3-540-75444-2\_46}, timestamp = {Sun, 20 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcc/AlonsoCSMLD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/MartinezASD07, author = {Alejandro Mart{\'{\i}}nez and Francisco Jos{\'{e}} Alfaro and Jos{\'{e}} L. S{\'{a}}nchez and Jos{\'{e}} Duato}, editor = {Teresa Maria Vaz{\~{a}}o and M{\'{a}}rio M. Freire and Ilyoung Chong}, title = {Providing Full QoS with 2 VCs in High-Speed Switches}, booktitle = {Information Networking. Towards Ubiquitous Networking and Services, International Conference, {ICOIN} 2007, Estoril, Portugal, January 23-25, 2007. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {5200}, pages = {345--354}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-89524-4\_35}, doi = {10.1007/978-3-540-89524-4\_35}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/icoin/MartinezASD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/MoraGFD07, author = {Gaspar Mora and Pedro Javier Garc{\'{\i}}a and Jos{\'{e}} Flich and Jos{\'{e}} Duato}, title = {{RECN-IQ:} {A} Cost-Effective Input-Queued Switch Architecture with Congestion Management}, booktitle = {2007 International Conference on Parallel Processing {(ICPP} 2007), September 10-14, 2007, Xi-An, China}, pages = {74}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICPP.2007.71}, doi = {10.1109/ICPP.2007.71}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/MoraGFD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/GomezGGLD07, author = {Crisp{\'{\i}}n G{\'{o}}mez Requena and Francisco Gilabert Villam{\'{o}}n and Mar{\'{\i}}a Engracia G{\'{o}}mez and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Deterministic versus Adaptive Routing in Fat-Trees}, booktitle = {21th International Parallel and Distributed Processing Symposium {(IPDPS} 2007), Proceedings, 26-30 March 2007, Long Beach, California, {USA}}, pages = {1--8}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/IPDPS.2007.370482}, doi = {10.1109/IPDPS.2007.370482}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/GomezGGLD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/MartinezASD07, author = {Alejandro Mart{\'{\i}}nez and Francisco Jos{\'{e}} Alfaro and Jos{\'{e}} L. S{\'{a}}nchez and Jos{\'{e}} Duato}, title = {Deadline-based QoS Algorithms for High-performance Networks}, booktitle = {21th International Parallel and Distributed Processing Symposium {(IPDPS} 2007), Proceedings, 26-30 March 2007, Long Beach, California, {USA}}, pages = {1--9}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/IPDPS.2007.370288}, doi = {10.1109/IPDPS.2007.370288}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/MartinezASD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/MartinezASD07a, author = {Alejandro Mart{\'{\i}}nez and Francisco Jos{\'{e}} Alfaro and Jos{\'{e}} L. S{\'{a}}nchez and Jos{\'{e}} Duato}, title = {Efficient Switches with QoS Support for Clusters}, booktitle = {21th International Parallel and Distributed Processing Symposium {(IPDPS} 2007), Proceedings, 26-30 March 2007, Long Beach, California, {USA}}, pages = {1--6}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/IPDPS.2007.370473}, doi = {10.1109/IPDPS.2007.370473}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/MartinezASD07a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/GomezGLD07, author = {Crisp{\'{\i}}n G{\'{o}}mez Requena and Mar{\'{\i}}a Engracia G{\'{o}}mez and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {Ivan Stojmenovic and Ruppa K. Thulasiram and Laurence Tianruo Yang and Weijia Jia and Minyi Guo and Rodrigo Fernandes de Mello}, title = {An Efficient Fault-Tolerant Routing Methodology for Fat-Tree Interconnection Networks}, booktitle = {Parallel and Distributed Processing and Applications, 5th International Symposium, {ISPA} 2007, Niagara Falls, Canada, August 29-31, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4742}, pages = {509--522}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74742-0\_46}, doi = {10.1007/978-3-540-74742-0\_46}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispa/GomezGLD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/FlichMLD07, author = {Jos{\'{e}} Flich and Andres Mejia and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Region-Based Routing: An Efficient Routing Mechanism to Tackle Unreliable Hardware in Network on Chips}, booktitle = {First International Symposium on Networks-on-Chips, {NOCS} 2007, 7-9 May 2007, Princeton, New Jersey, USA, Proceedings}, pages = {183--194}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/NOCS.2007.39}, doi = {10.1109/NOCS.2007.39}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nocs/FlichMLD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/CuestaRD07, author = {Blas Cuesta and Antonio Robles and Jos{\'{e}} Duato}, title = {An Effective Starvation Avoidance Mechanism to Enhance the Token Coherence Protocol}, booktitle = {15th Euromicro International Conference on Parallel, Distributed and Network-Based Processing {(PDP} 2007), 7-9 February 2007, Naples, Italy}, pages = {47--54}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/PDP.2007.22}, doi = {10.1109/PDP.2007.22}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/CuestaRD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/MejiaFDRS07, author = {Andres Mejia and Jos{\'{e}} Flich and Jos{\'{e}} Duato and Sven{-}Arne Reinemo and Tor Skeie}, title = {Boosting Ethernet Performance by Segment-Based Routing}, booktitle = {15th Euromicro International Conference on Parallel, Distributed and Network-Based Processing {(PDP} 2007), 7-9 February 2007, Naples, Italy}, pages = {55--62}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/PDP.2007.28}, doi = {10.1109/PDP.2007.28}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/MejiaFDRS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/FerrerBRLD07, author = {Joan{-}Llu{\'{\i}}s Ferrer and Elvira Baydal and Antonio Robles and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Congestion Management in MINs through Marked and Validated Packets}, booktitle = {15th Euromicro International Conference on Parallel, Distributed and Network-Based Processing {(PDP} 2007), 7-9 February 2007, Naples, Italy}, pages = {254--261}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/PDP.2007.32}, doi = {10.1109/PDP.2007.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/FerrerBRLD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/RuedaMOD07, author = {Silvia Rueda and Pedro Morillo and Juan M. Ordu{\~{n}}a and Jos{\'{e}} Duato}, editor = {William R. Sherman and Ming C. Lin and Anthony Steed}, title = {On the Characterization of Peer-To-Peer Distributed Virtual Environments}, booktitle = {{IEEE} Virtual Reality Conference, {VR} 2007, 10-14 March 2007, Charlotte, NC, USA, Proceedings}, pages = {107--114}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/VR.2007.352470}, doi = {10.1109/VR.2007.352470}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vr/RuedaMOD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/CamineroCQDY06, author = {Mar{\'{\i}}a Blanca Caminero and Carmen Carri{\'{o}}n and Francisco J. Quiles and Jos{\'{e}} Duato and Sudhakar Yalamanchili}, title = {{MMR:} {A} MultiMedia Router architecture to support hybrid workloads}, journal = {J. Parallel Distributed Comput.}, volume = {66}, number = {2}, pages = {307--321}, year = {2006}, url = {https://doi.org/10.1016/j.jpdc.2005.10.002}, doi = {10.1016/J.JPDC.2005.10.002}, timestamp = {Mon, 30 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/CamineroCQDY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/GomezLD06, author = {Mar{\'{\i}}a Engracia G{\'{o}}mez and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {{FIR:} An efficient routing strategy for tori and meshes}, journal = {J. Parallel Distributed Comput.}, volume = {66}, number = {7}, pages = {907--921}, year = {2006}, url = {https://doi.org/10.1016/j.jpdc.2005.12.012}, doi = {10.1016/J.JPDC.2005.12.012}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/GomezLD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/GarciaQFDJN06, author = {Pedro Javier Garc{\'{\i}}a and Francisco J. Quiles and Jos{\'{e}} Flich and Jos{\'{e}} Duato and Ian Johnson and Finbar Naven}, title = {Efficient, Scalable Congestion Management for Interconnection Networks}, journal = {{IEEE} Micro}, volume = {26}, number = {5}, pages = {52--66}, year = {2006}, url = {https://doi.org/10.1109/MM.2006.88}, doi = {10.1109/MM.2006.88}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/GarciaQFDJN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scpe/GomezLD06, author = {Mar{\'{\i}}a Engracia G{\'{o}}mez and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {An Efficient Fault-Tolerant Routing Strategy for Tori and Meshes}, journal = {Scalable Comput. Pract. Exp.}, volume = {7}, number = {3}, year = {2006}, url = {http://www.scpe.org/index.php/scpe/article/view/375}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scpe/GomezLD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/GomezNFLRDSL06, author = {Mar{\'{\i}}a Engracia G{\'{o}}mez and Nils Agne Nordbotten and Jos{\'{e}} Flich and Pedro L{\'{o}}pez and Antonio Robles and Jos{\'{e}} Duato and Tor Skeie and Olav Lysne}, title = {A Routing Methodology for Achieving Fault Tolerance in Direct Networks}, journal = {{IEEE} Trans. Computers}, volume = {55}, number = {4}, pages = {400--415}, year = {2006}, url = {https://doi.org/10.1109/TC.2006.46}, doi = {10.1109/TC.2006.46}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/GomezNFLRDSL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ancs/MoraFDLBL06, author = {Gaspar Mora and Jos{\'{e}} Flich and Jos{\'{e}} Duato and Pedro L{\'{o}}pez and Elvira Baydal and Olav Lysne}, editor = {Laxmi N. Bhuyan and Michel Dubois and Will Eatherton}, title = {Towards an efficient switch architecture for high-radix switches}, booktitle = {Proceedings of the 2006 {ACM/IEEE} Symposium on Architecture for Networking and Communications Systems, {ANCS} 2006, San Jose, California, USA, December 3-5, 2006}, pages = {11--20}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1185347.1185350}, doi = {10.1145/1185347.1185350}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ancs/MoraFDLBL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgi/MorilloMOD06, author = {Pedro Morillo and W. Moncho and Juan M. Ordu{\~{n}}a and Jos{\'{e}} Duato}, editor = {Tomoyuki Nishita and Qunsheng Peng and Hans{-}Peter Seidel}, title = {Providing Full Awareness to Distributed Virtual Environments Based on Peer-to-Peer Architectures}, booktitle = {Advances in Computer Graphics, 24th Computer Graphics International Conference, {CGI} 2006, Hangzhou, China, June 26-28, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4035}, pages = {336--347}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11784203\_29}, doi = {10.1007/11784203\_29}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cgi/MorilloMOD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/GilabertGLD06, author = {Francisco Gilabert Villam{\'{o}}n and Mar{\'{\i}}a Engracia G{\'{o}}mez and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {Wolfgang E. Nagel and Wolfgang V. Walter and Wolfgang Lehner}, title = {On the Influence of the Selection Function on the Performance of Fat-Trees}, booktitle = {Euro-Par 2006, Parallel Processing, 12th International Euro-Par Conference, Dresden, Germany, August 28 - September 1, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4128}, pages = {864--873}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11823285\_91}, doi = {10.1007/11823285\_91}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/GilabertGLD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/MartinezGASFQD06, author = {Alejandro Mart{\'{\i}}nez and Pedro Javier Garc{\'{\i}}a and Francisco Jos{\'{e}} Alfaro and Jos{\'{e}} L. S{\'{a}}nchez and Jos{\'{e}} Flich and Francisco J. Quiles and Jos{\'{e}} Duato}, editor = {Wolfgang E. Nagel and Wolfgang V. Walter and Wolfgang Lehner}, title = {Towards a Cost-Effective Interconnection Network Architecture with QoS and Congestion Management Support}, booktitle = {Euro-Par 2006, Parallel Processing, 12th International Euro-Par Conference, Dresden, Germany, August 28 - September 1, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4128}, pages = {884--895}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11823285\_93}, doi = {10.1007/11823285\_93}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/europar/MartinezGASFQD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/MartinezAASD06, author = {Alejandro Mart{\'{\i}}nez and George Apostolopoulos and Francisco Jos{\'{e}} Alfaro and Jos{\'{e}} L. S{\'{a}}nchez and Jos{\'{e}} Duato}, editor = {Michael Gerndt and Dieter Kranzlm{\"{u}}ller}, title = {QoS Support for Video Transmission in High-Speed Interconnects}, booktitle = {High Performance Computing and Communications, Second International Conference, {HPCC} 2006, Munich, Germany, September 13-15, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4208}, pages = {631--641}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11847366\_65}, doi = {10.1007/11847366\_65}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/MartinezAASD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/NachiondoFD06, author = {Teresa Nachiondo Frin{\'{o}}s and Jos{\'{e}} Flich and Jos{\'{e}} Duato}, title = {Destination-Based HoL Blocking Elimination}, booktitle = {12th International Conference on Parallel and Distributed Systems, {ICPADS} 2006, Minneapolis, Minnesota, USA, July 12-15, 2006}, pages = {213--222}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICPADS.2006.34}, doi = {10.1109/ICPADS.2006.34}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/NachiondoFD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/MartinezASD06, author = {Alejandro Mart{\'{\i}}nez and Francisco Jos{\'{e}} Alfaro and Jos{\'{e}} L. S{\'{a}}nchez and Jos{\'{e}} Duato}, title = {Scalable Low-Cost QoS Support for Single-chip Switches}, booktitle = {12th International Conference on Parallel and Distributed Systems, {ICPADS} 2006, Minneapolis, Minnesota, USA, July 12-15, 2006}, pages = {439--446}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICPADS.2006.110}, doi = {10.1109/ICPADS.2006.110}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/MartinezASD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/MontananaFRD06, author = {Jos{\'{e}} Miguel Monta{\~{n}}ana and Jos{\'{e}} Flich and Antonio Robles and Jos{\'{e}} Duato}, title = {Reachability-Based Fault-Tolerant Routing}, booktitle = {12th International Conference on Parallel and Distributed Systems, {ICPADS} 2006, Minneapolis, Minnesota, USA, July 12-15, 2006}, pages = {515--524}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICPADS.2006.89}, doi = {10.1109/ICPADS.2006.89}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/MontananaFRD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/GarciaQFDJN06, author = {Pedro Javier Garc{\'{\i}}a and Francisco J. Quiles and Jos{\'{e}} Flich and Jos{\'{e}} Duato and Ian Johnson and Finbar Naven}, title = {{RECN-DD:} {A} Memory-Efficient Congestion Management Technique for Advanced Switching}, booktitle = {2006 International Conference on Parallel Processing {(ICPP} 2006), 14-18 August 2006, Columbus, Ohio, {USA}}, pages = {23--32}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICPP.2006.62}, doi = {10.1109/ICPP.2006.62}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/GarciaQFDJN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/Sem-JacobsenSLD06, author = {Frank Olaf Sem{-}Jacobsen and Tor Skeie and Olav Lysne and Jos{\'{e}} Duato}, title = {Dynamic Fault Tolerance with Misrouting in Fat Trees}, booktitle = {2006 International Conference on Parallel Processing {(ICPP} 2006), 14-18 August 2006, Columbus, Ohio, {USA}}, pages = {33--44}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICPP.2006.36}, doi = {10.1109/ICPP.2006.36}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/Sem-JacobsenSLD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icppw/MorilloOD06, author = {Pedro Morillo and Juan M. Ordu{\~{n}}a and Jos{\'{e}} Duato}, title = {A Scalable Synchronization Technique for Distributed Virtual Environments Based on Networked-Server Architectures}, booktitle = {2006 International Conference on Parallel Processing Workshops {(ICPP} Workshops 2006), 14-18 August 2006, Columbus, Ohio, {USA}}, pages = {74--81}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICPPW.2006.16}, doi = {10.1109/ICPPW.2006.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icppw/MorilloOD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/AlonsoCMSLD06, author = {Marina Alonso and Salvador Coll and Juan{-}Miguel Mart{\'{\i}}nez and Vicente Santonja and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Dynamic power saving in fat-tree interconnection networks using on/off links}, booktitle = {20th International Parallel and Distributed Processing Symposium {(IPDPS} 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/IPDPS.2006.1639599}, doi = {10.1109/IPDPS.2006.1639599}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/AlonsoCMSLD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/MejiaFDRS06, author = {Andres Mejia and Jos{\'{e}} Flich and Jos{\'{e}} Duato and Sven{-}Arne Reinemo and Tor Skeie}, title = {Segment-based routing: an efficient fault-tolerant routing algorithm for meshes and tori}, booktitle = {20th International Parallel and Distributed Processing Symposium {(IPDPS} 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/IPDPS.2006.1639341}, doi = {10.1109/IPDPS.2006.1639341}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/MejiaFDRS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nca/MartinezASD06, author = {Alejandro Mart{\'{\i}}nez and Francisco Jos{\'{e}} Alfaro and Jos{\'{e}} L. S{\'{a}}nchez and Jos{\'{e}} Duato}, title = {Full QoS Support with 2 VCs for Single-chip Switches}, booktitle = {Fifth {IEEE} International Symposium on Network Computing and Applications, {NCA} 2006, 24-26 July 2006, Cambridge, Massachusetts, {USA}}, pages = {239--242}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/NCA.2006.33}, doi = {10.1109/NCA.2006.33}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nca/MartinezASD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/KoibuchiMFRLD05, author = {Michihiro Koibuchi and Juan Carlos Mart{\'{\i}}nez and Jos{\'{e}} Flich and Antonio Robles and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Enforcing in-order packet delivery in system area networks with adaptive routing}, journal = {J. Parallel Distributed Comput.}, volume = {65}, number = {10}, pages = {1223--1236}, year = {2005}, url = {https://doi.org/10.1016/j.jpdc.2005.04.007}, doi = {10.1016/J.JPDC.2005.04.007}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/KoibuchiMFRLD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/AcacioGGD05, author = {Manuel E. Acacio and Jos{\'{e}} Gonz{\'{a}}lez and Jos{\'{e}} M. Garc{\'{\i}}a and Jos{\'{e}} Duato}, title = {A Two-Level Directory Architecture for Highly Scalable cc-NUMA Multiprocessors}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {16}, number = {1}, pages = {67--79}, year = {2005}, url = {https://doi.org/10.1109/TPDS.2005.4}, doi = {10.1109/TPDS.2005.4}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/AcacioGGD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ParcerisaSG05, author = {Joan{-}Manuel Parcerisa and Julio Sahuquillo and Antonio Gonz{\'{a}}lez and Jos{\'{e}} Duato}, title = {On-Chip Interconnects and Instruction Steering Schemes for Clustered Microarchitectures}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {16}, number = {2}, pages = {130--144}, year = {2005}, url = {https://doi.org/10.1109/TPDS.2005.23}, doi = {10.1109/TPDS.2005.23}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/ParcerisaSG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/DuatoLPP05, author = {Jos{\'{e}} Duato and Olav Lysne and Ruoming Pang and Timothy Mark Pinkston}, title = {Part {I:} {A} Theory for Deadlock-Free Dynamic Network Reconfiguration}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {16}, number = {5}, pages = {412--427}, year = {2005}, url = {https://doi.org/10.1109/TPDS.2005.58}, doi = {10.1109/TPDS.2005.58}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/DuatoLPP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/LysnePD05, author = {Olav Lysne and Timothy Mark Pinkston and Jos{\'{e}} Duato}, title = {Part {II:} {A} Methodology for Developing Deadlock-Free Dynamic Network Reconfiguration Processes}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {16}, number = {5}, pages = {428--443}, year = {2005}, url = {https://doi.org/10.1109/TPDS.2005.59}, doi = {10.1109/TPDS.2005.59}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/LysnePD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/MorilloOFD05, author = {Pedro Morillo and Juan M. Ordu{\~{n}}a and Marcos Fern{\'{a}}ndez and Jos{\'{e}} Duato}, title = {Improving the Performance of Distributed Virtual Environment Systems}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {16}, number = {7}, pages = {637--649}, year = {2005}, url = {https://doi.org/10.1109/TPDS.2005.83}, doi = {10.1109/TPDS.2005.83}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/MorilloOFD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/BaydalLD05, author = {Elvira Baydal and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {A Family of Mechanisms for Congestion Control in Wormhole Networks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {16}, number = {9}, pages = {772--784}, year = {2005}, url = {https://doi.org/10.1109/TPDS.2005.102}, doi = {10.1109/TPDS.2005.102}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/BaydalLD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/CamineroCQDY05, author = {Mar{\'{\i}}a Blanca Caminero and Carmen Carri{\'{o}}n and Francisco J. Quiles and Jos{\'{e}} Duato and Sudhakar Yalamanchili}, title = {Traffic Scheduling Solutions with QoS Support for an Input-Buffered MultiMedia Router}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {16}, number = {11}, pages = {1009--1021}, year = {2005}, url = {https://doi.org/10.1109/TPDS.2005.140}, doi = {10.1109/TPDS.2005.140}, timestamp = {Mon, 30 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/CamineroCQDY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/FrinosFDG05, author = {Teresa Nachiondo Frin{\'{o}}s and Jos{\'{e}} Flich and Jos{\'{e}} Duato and Mitchell Gusat}, editor = {Jos{\'{e}} C. Cunha and Pedro D. Medeiros}, title = {Cost / Performance Trade-Offs and Fairness Evaluation of Queue Mapping Policies}, booktitle = {Euro-Par 2005, Parallel Processing, 11th International Euro-Par Conference, Lisbon, Portugal, August 30 - September 2, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3648}, pages = {1024--1034}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11549468\_112}, doi = {10.1007/11549468\_112}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/europar/FrinosFDG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/GarciaFDQJN05, author = {Pedro Javier Garc{\'{\i}}a and Jos{\'{e}} Flich and Jos{\'{e}} Duato and Francisco J. Quiles and Ian Johnson and Finbar Naven}, editor = {Jos{\'{e}} C. Cunha and Pedro D. Medeiros}, title = {On the Correct Sizing on Meshes Through an Effective Congestion Management Strategy}, booktitle = {Euro-Par 2005, Parallel Processing, 11th International Euro-Par Conference, Lisbon, Portugal, August 30 - September 2, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3648}, pages = {1035--1045}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11549468\_113}, doi = {10.1007/11549468\_113}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/europar/GarciaFDQJN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/MartinezASD05, author = {Alejandro Mart{\'{\i}}nez and Francisco Jos{\'{e}} Alfaro and Jos{\'{e}} L. S{\'{a}}nchez and Jos{\'{e}} Duato}, editor = {David A. Bader and Manish Parashar and Sridhar Varadarajan and Viktor K. Prasanna}, title = {Providing Full QoS Support in Clusters Using Only Two VCs at the Switches}, booktitle = {High Performance Computing - HiPC 2005, 12th International Conference, Goa, India, December 18-21, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3769}, pages = {158--169}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11602569\_20}, doi = {10.1007/11602569\_20}, timestamp = {Sat, 19 Oct 2019 20:28:58 +0200}, biburl = {https://dblp.org/rec/conf/hipc/MartinezASD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipeac/GarciaFDJQN05, author = {Pedro Javier Garc{\'{\i}}a and Jos{\'{e}} Flich and Jos{\'{e}} Duato and Ian Johnson and Francisco J. Quiles and Finbar Naven}, editor = {Thomas M. Conte and Nacho Navarro and Wen{-}mei W. Hwu and Mateo Valero and Theo Ungerer}, title = {Dynamic Evolution of Congestion Trees: Analysis and Impact on Switch Architecture}, booktitle = {High Performance Embedded Architectures and Compilers, First International Conference, HiPEAC 2005, Barcelona, Spain, November 17-18, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3793}, pages = {266--285}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11587514\_18}, doi = {10.1007/11587514\_18}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipeac/GarciaFDJQN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hoti/GusatCDENPRD05, author = {Mitchell Gusat and D. Craddock and Wolfgang E. Denzel and Antonius P. J. Engbersen and Nan Ni and Gregory F. Pfister and W. Rooney and Jos{\'{e}} Duato}, title = {Congestion Control in InfiniBand Networks}, booktitle = {13th Annual {IEEE} Symposium on High Performance Interconnects {(HOTIC} 2005), 17-19 August 2005, Stanford, CA, {USA}}, pages = {158--159}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/CONECT.2005.14}, doi = {10.1109/CONECT.2005.14}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hoti/GusatCDENPRD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/DuatoJFNGF05, author = {Jos{\'{e}} Duato and Ian Johnson and Jos{\'{e}} Flich and Finbar Naven and Pedro Javier Garc{\'{\i}}a and Teresa Nachiondo Frin{\'{o}}s}, title = {A New Scalable and Cost-Effective Congestion Management Strategy for Lossless Multistage Interconnection Networks}, booktitle = {11th International Conference on High-Performance Computer Architecture {(HPCA-11} 2005), 12-16 February 2005, San Francisco, CA, {USA}}, pages = {108--119}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/HPCA.2005.1}, doi = {10.1109/HPCA.2005.1}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/DuatoJFNGF05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/AlonsoMSLD05, author = {Marina Alonso and Juan{-}Miguel Mart{\'{\i}}nez and Vicente Santonja and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Power Saving in Regular Interconnection Networks Built with High-Degree Switches}, booktitle = {19th International Parallel and Distributed Processing Symposium {(IPDPS} 2005), {CD-ROM} / Abstracts Proceedings, 4-8 April 2005, Denver, CO, {USA}}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IPDPS.2005.349}, doi = {10.1109/IPDPS.2005.349}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/AlonsoMSLD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/FrinosFD05, author = {Teresa Nachiondo Frin{\'{o}}s and Jos{\'{e}} Flich and Jos{\'{e}} Duato}, title = {Efficient Reduction of {HOL} Blocking in Multistage Networks}, booktitle = {19th International Parallel and Distributed Processing Symposium {(IPDPS} 2005), {CD-ROM} / Abstracts Proceedings, 4-8 April 2005, Denver, CO, {USA}}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IPDPS.2005.193}, doi = {10.1109/IPDPS.2005.193}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/FrinosFD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/GomezLD05, author = {Mar{\'{\i}}a Engracia G{\'{o}}mez and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {A Memory-Effective Routing Strategy for Regular Interconnection Networks}, booktitle = {19th International Parallel and Distributed Processing Symposium {(IPDPS} 2005), {CD-ROM} / Abstracts Proceedings, 4-8 April 2005, Denver, CO, {USA}}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IPDPS.2005.44}, doi = {10.1109/IPDPS.2005.44}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/GomezLD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/MartinezFRLDK05, author = {Juan Carlos Mart{\'{\i}}nez and Jos{\'{e}} Flich and Antonio Robles and Pedro L{\'{o}}pez and Jos{\'{e}} Duato and Michihiro Koibuchi}, title = {In-Order Packet Delivery in Interconnection Networks using Adaptive Routing}, booktitle = {19th International Parallel and Distributed Processing Symposium {(IPDPS} 2005), {CD-ROM} / Abstracts Proceedings, 4-8 April 2005, Denver, CO, {USA}}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IPDPS.2005.255}, doi = {10.1109/IPDPS.2005.255}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/MartinezFRLDK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/RuedaMOD05, author = {Silvia Rueda and Pedro Morillo and Juan M. Ordu{\~{n}}a and Jos{\'{e}} Duato}, title = {A Sexual Elitist Genetic Algorithm for Providing QoS in Distributed Virtual Environment Systems}, booktitle = {19th International Parallel and Distributed Processing Symposium {(IPDPS} 2005), {CD-ROM} / Abstracts Proceedings, 4-8 April 2005, Denver, CO, {USA}}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IPDPS.2005.67}, doi = {10.1109/IPDPS.2005.67}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/RuedaMOD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/AlfaroSD05, author = {Francisco Jos{\'{e}} Alfaro and Jos{\'{e}} L. S{\'{a}}nchez and Jos{\'{e}} Duato}, title = {Studying the Influence of the InfiniBand Packet Size to Guarantee QoS}, booktitle = {Proceedings of the 10th {IEEE} Symposium on Computers and Communications {(ISCC} 2005), 27-30 June 2005, Murcia, Cartagena, Spain}, pages = {989--994}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISCC.2005.140}, doi = {10.1109/ISCC.2005.140}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscc/AlfaroSD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ishpc/MontananaFRD05, author = {Jos{\'{e}} Miguel Monta{\~{n}}ana and Jos{\'{e}} Flich and Antonio Robles and Jos{\'{e}} Duato}, editor = {Jes{\'{u}}s Labarta and Kazuki Joe and Toshinori Sato}, title = {A Scalable Methodology for Computing Fault-Free Paths in InfiniBand Torus Networks}, booktitle = {High-Performance Computing - 6th International Symposium, {ISHPC} 2005, Nara, Japan, September 7-9, 2005, First International Workshop on Advanced Low Power Systems, {ALPS} 2006, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {4759}, pages = {79--92}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/978-3-540-77704-5\_7}, doi = {10.1007/978-3-540-77704-5\_7}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ishpc/MontananaFRD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispdc/GomezLD05, author = {Mar{\'{\i}}a Engracia G{\'{o}}mez and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {A Memory-Effective Fault-Tolerant Routing Strategy for Direct Interconnection Networks}, booktitle = {4th International Symposium on Parallel and Distributed Computing {(ISPDC} 2005), 4-6 July 2005, Lille, France}, pages = {341--348}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPDC.2005.6}, doi = {10.1109/ISPDC.2005.6}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispdc/GomezLD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/MorilloOFD05, author = {Pedro Morillo and Juan M. Ordu{\~{n}}a and Marcos Fern{\'{a}}ndez and Jos{\'{e}} Duato}, title = {A Method for Providing QoS in Distributed Virtual Environments}, booktitle = {13th Euromicro Workshop on Parallel, Distributed and Network-Based Processing {(PDP} 2005), 6-11 February 2005, Lugano, Switzerland}, pages = {152--159}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/EMPDP.2005.4}, doi = {10.1109/EMPDP.2005.4}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/MorilloOFD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/GomezDFLRNLS04, author = {Mar{\'{\i}}a Engracia G{\'{o}}mez and Jos{\'{e}} Duato and Jos{\'{e}} Flich and Pedro L{\'{o}}pez and Antonio Robles and Nils Agne Nordbotten and Olav Lysne and Tor Skeie}, title = {An Efficient Fault-Tolerant Routing Methodology for Meshes and Tori}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {3}, year = {2004}, url = {https://doi.org/10.1109/L-CA.2004.1}, doi = {10.1109/L-CA.2004.1}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/GomezDFLRNLS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/OrdunaSD04, author = {Juan M. Ordu{\~{n}}a and Federico Silla and Jos{\'{e}} Duato}, title = {On the development of a communication-aware task mapping technique}, journal = {J. Syst. Archit.}, volume = {50}, number = {4}, pages = {207--220}, year = {2004}, url = {https://doi.org/10.1016/j.sysarc.2003.09.002}, doi = {10.1016/J.SYSARC.2003.09.002}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/OrdunaSD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/paapp/ZafarPBD04, author = {Bilal Zafar and Timothy Mark Pinkston and Aurelio Berm{\'{u}}dez and Jos{\'{e}} Duato}, title = {Deadlock-free dynamic reconfiguration over InfiniBand\({}^{\mbox{TM}}\) {NETWORKS}}, journal = {Parallel Algorithms Appl.}, volume = {19}, number = {2-3}, pages = {127--143}, year = {2004}, url = {https://doi.org/10.1080/10637190410001725463}, doi = {10.1080/10637190410001725463}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/paapp/ZafarPBD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/SanchoRD04, author = {Jos{\'{e}} Carlos Sancho and Antonio Robles and Jos{\'{e}} Duato}, title = {An Effective Methodology to Improve the Performance of the Up*/Down* Routing Algorithm}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {15}, number = {8}, pages = {740--754}, year = {2004}, url = {https://doi.org/10.1109/TPDS.2004.28}, doi = {10.1109/TPDS.2004.28}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/SanchoRD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/AcacioGGD04, author = {Manuel E. Acacio and Jos{\'{e}} Gonz{\'{a}}lez and Jos{\'{e}} M. Garc{\'{\i}}a and Jos{\'{e}} Duato}, title = {An Architecture for High-Performance Scalable Shared-Memory Multiprocessors Exploiting On-Chip Integration}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {15}, number = {8}, pages = {755--768}, year = {2004}, url = {https://doi.org/10.1109/TPDS.2004.27}, doi = {10.1109/TPDS.2004.27}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/AcacioGGD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/AlfaroSD04, author = {Francisco Jos{\'{e}} Alfaro and Jos{\'{e}} L. S{\'{a}}nchez and Jos{\'{e}} Duato}, title = {QoS in InfiniBand Subnetworks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {15}, number = {9}, pages = {810--823}, year = {2004}, url = {https://doi.org/10.1109/TPDS.2004.46}, doi = {10.1109/TPDS.2004.46}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/AlfaroSD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/DuatoPLB04, author = {Jos{\'{e}} Duato and Fabrizio Petrini and Olav Lysne and Angelos Bilas}, editor = {Marco Danelutto and Marco Vanneschi and Domenico Laforenza}, title = {Topic 14: Routing and Communication in Interconnection Networks}, booktitle = {Euro-Par 2004 Parallel Processing, 10th International Euro-Par Conference, Pisa, Italy, August 31-September 3, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3149}, pages = {832}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-27866-5\_111}, doi = {10.1007/978-3-540-27866-5\_111}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/DuatoPLB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/GomezDFLRNSL04, author = {Mar{\'{\i}}a Engracia G{\'{o}}mez and Jos{\'{e}} Duato and Jos{\'{e}} Flich and Pedro L{\'{o}}pez and Antonio Robles and Nils Agne Nordbotten and Tor Skeie and Olav Lysne}, editor = {Luc Boug{\'{e}} and Viktor K. Prasanna}, title = {A New Adaptive Fault-Tolerant Routing Methodology for Direct Networks}, booktitle = {High Performance Computing - HiPC 2004, 11th International Conference, Bangalore, India, December 19-22, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3296}, pages = {462--473}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30474-6\_49}, doi = {10.1007/978-3-540-30474-6\_49}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipc/GomezDFLRNSL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/LysneMPDSF04, author = {Olav Lysne and Jos{\'{e}} Miguel Monta{\~{n}}ana and Timothy Mark Pinkston and Jos{\'{e}} Duato and Tor Skeie and Jos{\'{e}} Flich}, editor = {Luc Boug{\'{e}} and Viktor K. Prasanna}, title = {Simple Deadlock-Free Dynamic Network Reconfiguration}, booktitle = {High Performance Computing - HiPC 2004, 11th International Conference, Bangalore, India, December 19-22, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3296}, pages = {504--515}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30474-6\_53}, doi = {10.1007/978-3-540-30474-6\_53}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipc/LysneMPDSF04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/MorilloOFD04, author = {Pedro Morillo and Juan M. Ordu{\~{n}}a and Marcos Fern{\'{a}}ndez and Jos{\'{e}} Duato}, editor = {Antonio Lagan{\`{a}} and Marina L. Gavrilova and Vipin Kumar and Youngsong Mun and Chih Jeng Kenneth Tan and Osvaldo Gervasi}, title = {A Comparison Study of Metaheuristic Techniques for Providing QoS to Avatars in {DVE} Systems}, booktitle = {Computational Science and Its Applications - {ICCSA} 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3044}, pages = {661--670}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24709-8\_70}, doi = {10.1007/978-3-540-24709-8\_70}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccsa/MorilloOFD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/SkeieLFLRD04, author = {Tor Skeie and Olav Lysne and Jos{\'{e}} Flich and Pedro L{\'{o}}pez and Antonio Robles and Jos{\'{e}} Duato}, title = {{LASH-TOR:} {A} Generic Transition-Oriented Routing Algorithm}, booktitle = {10th International Conference on Parallel and Distributed Systems, {ICPADS} 2004, Newport Beach, CA, USA, July 7-9, 2004}, pages = {595--604}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.ieeecomputersociety.org/10.1109/ICPADS.2004.50}, doi = {10.1109/ICPADS.2004.50}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/SkeieLFLRD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/GomezFLRDNLS04, author = {Mar{\'{\i}}a Engracia G{\'{o}}mez and Jos{\'{e}} Flich and Pedro L{\'{o}}pez and Antonio Robles and Jos{\'{e}} Duato and Nils Agne Nordbotten and Olav Lysne and Tor Skeie}, title = {An Effective Fault-Tolerant Routing Methodology for Direct Networks}, booktitle = {33rd International Conference on Parallel Processing {(ICPP} 2004), 15-18 August 2004, Montreal, Quebec, Canada}, pages = {222--231}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICPP.2004.1327925}, doi = {10.1109/ICPP.2004.1327925}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/GomezFLRDNLS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/BermudezCQD04, author = {Aurelio Berm{\'{u}}dez and Rafael Casado and Francisco J. Quiles and Jos{\'{e}} Duato}, title = {Use of Provisional Routes to Speed-up Change Assimilation in InfiniBand Networks}, booktitle = {18th International Parallel and Distributed Processing Symposium {(IPDPS} 2004), {CD-ROM} / Abstracts Proceedings, 26-30 April 2004, Santa Fe, New Mexico, {USA}}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/IPDPS.2004.1303199}, doi = {10.1109/IPDPS.2004.1303199}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/BermudezCQD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/MontananaFRLD04, author = {Jos{\'{e}} Miguel Monta{\~{n}}ana and Jos{\'{e}} Flich and Antonio Robles and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {A Transition-Based Fault-Tolerant Routing Methodology for InfiniBand Networks}, booktitle = {18th International Parallel and Distributed Processing Symposium {(IPDPS} 2004), {CD-ROM} / Abstracts Proceedings, 26-30 April 2004, Santa Fe, New Mexico, {USA}}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/IPDPS.2004.1303198}, doi = {10.1109/IPDPS.2004.1303198}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/MontananaFRLD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/npc/NordbottenGFLRSLD04, author = {Nils Agne Nordbotten and Mar{\'{\i}}a Engracia G{\'{o}}mez and Jos{\'{e}} Flich and Pedro L{\'{o}}pez and Antonio Robles and Tor Skeie and Olav Lysne and Jos{\'{e}} Duato}, editor = {Hai Jin and Guang R. Gao and Zhiwei Xu and Hao Chen}, title = {A Fully Adaptive Fault-Tolerant Routing Methodology Based on Intermediate Nodes}, booktitle = {Network and Parallel Computing, {IFIP} International Conference, {NPC} 2004, Wuhan, China, October 18-20, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3222}, pages = {341--356}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30141-7\_49}, doi = {10.1007/978-3-540-30141-7\_49}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/npc/NordbottenGFLRSLD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdcn/GarciaQASD04, author = {Pedro Javier Garc{\'{\i}}a and Francisco J. Quiles and Francisco Jos{\'{e}} Alfaro and Jos{\'{e}} L. S{\'{a}}nchez and Jos{\'{e}} Duato}, editor = {M. H. Hamza}, title = {An analysis of deadlock risk during centralized network mapping}, booktitle = {Proceedings of the {IASTED} International Conference on Parallel and Distributed Computing and Networks, Innsbruck, Austria, February 17-19, 2004}, pages = {601--606}, publisher = {{IASTED/ACTA} Press}, year = {2004}, timestamp = {Tue, 04 Oct 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pdcn/GarciaQASD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/DuatoFN04, author = {Jos{\'{e}} Duato and Jos{\'{e}} Flich and Teresa Nachiondo Frin{\'{o}}s}, title = {A Cost-Effective Technique to Reduce {HOL} Blocking in Single-Stage and Multistage Switch Fabrics}, booktitle = {12th Euromicro Workshop on Parallel, Distributed and Network-Based Processing {(PDP} 2004), 11-13 February 2004, {A} Coruna, Spain}, pages = {48--53}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/EMPDP.2004.1271426}, doi = {10.1109/EMPDP.2004.1271426}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/DuatoFN04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/MartinezFRLD03, author = {Juan Carlos Mart{\'{\i}}nez and Jos{\'{e}} Flich and Antonio Robles and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Supporting adaptive routing in {IBA} switches}, journal = {J. Syst. Archit.}, volume = {49}, number = {10-11}, pages = {441--456}, year = {2003}, url = {https://doi.org/10.1016/S1383-7621(03)00103-6}, doi = {10.1016/S1383-7621(03)00103-6}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/MartinezFRLD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/FlichLMDR03, author = {Jos{\'{e}} Flich and Pedro L{\'{o}}pez and Manuel P. Malumbres and Jos{\'{e}} Duato and Tomas Rokicki}, title = {Applying In-Transit Buffers to Boost the Performance of Networks with Source Routing}, journal = {{IEEE} Trans. Computers}, volume = {52}, number = {9}, pages = {1134--1153}, year = {2003}, url = {https://doi.org/10.1109/TC.2003.1228510}, doi = {10.1109/TC.2003.1228510}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/FlichLMDR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/Martinez-RubioLD03, author = {Juan{-}Miguel Martinez{-}Rubio and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {{FC3D:} Flow Control-Based Distributed Deadlock Detection Mechanism for True Fully Adaptive Routing in Wormhole Networks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {14}, number = {8}, pages = {765--779}, year = {2003}, url = {https://doi.org/10.1109/TPDS.2003.1225056}, doi = {10.1109/TPDS.2003.1225056}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/Martinez-RubioLD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/PinkstonPD03, author = {Timothy Mark Pinkston and Ruoming Pang and Jos{\'{e}} Duato}, title = {Deadlock-Free Dynamic Reconfiguration Schemes for Increased Network Dependability}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {14}, number = {8}, pages = {780--794}, year = {2003}, url = {https://doi.org/10.1109/TPDS.2003.1225057}, doi = {10.1109/TPDS.2003.1225057}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/PinkstonPD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cluster/BermudezCQPD03, author = {Aurelio Berm{\'{u}}dez and Rafael Casado and Francisco J. Quiles and Timothy Mark Pinkston and Jos{\'{e}} Duato}, title = {On the InfiniBand Subnet Discovery Process}, booktitle = {2003 {IEEE} International Conference on Cluster Computing {(CLUSTER} 2003), 1-4 December 2003, Kowloon, Hong Kong, China}, pages = {512}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/CLUSTR.2003.1253361}, doi = {10.1109/CLUSTR.2003.1253361}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cluster/BermudezCQPD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/DuatoLPH03, author = {Jos{\'{e}} Duato and Olav Lysne and Timothy Mark Pinkston and Hermann Hellwagner}, editor = {Harald Kosch and L{\'{a}}szl{\'{o}} B{\"{o}}sz{\"{o}}rm{\'{e}}nyi and Hermann Hellwagner}, title = {Topic Introduction}, booktitle = {Euro-Par 2003. Parallel Processing, 9th International Euro-Par Conference, Klagenfurt, Austria, August 26-29, 2003. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2790}, pages = {929}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-45209-6\_128}, doi = {10.1007/978-3-540-45209-6\_128}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/europar/DuatoLPH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/MorilloOFD03, author = {Pedro Morillo and Juan M. Ordu{\~{n}}a and Marcos Fern{\'{a}}ndez and Jos{\'{e}} Duato}, editor = {Harald Kosch and L{\'{a}}szl{\'{o}} B{\"{o}}sz{\"{o}}rm{\'{e}}nyi and Hermann Hellwagner}, title = {On the Characterization of Distributed Virtual Environment Systems}, booktitle = {Euro-Par 2003. Parallel Processing, 9th International Euro-Par Conference, Klagenfurt, Austria, August 26-29, 2003. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2790}, pages = {1190--1198}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-45209-6\_160}, doi = {10.1007/978-3-540-45209-6\_160}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/europar/MorilloOFD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/KimYDYD03, author = {Eun Jung Kim and Ki Hwan Yum and Chita R. Das and Mazin S. Yousif and Jos{\'{e}} Duato}, title = {Performance Enhancement Techniques for InfiniBand? Architecture}, booktitle = {Proceedings of the Ninth International Symposium on High-Performance Computer Architecture (HPCA'03), Anaheim, California, USA, February 8-12, 2003}, pages = {253--262}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/HPCA.2003.1183543}, doi = {10.1109/HPCA.2003.1183543}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/KimYDYD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/LysnePD03, author = {Olav Lysne and Timothy Mark Pinkston and Jos{\'{e}} Duato}, title = {A Methodology for Developing Dynamic Network Reconfiguration Processes}, booktitle = {32nd International Conference on Parallel Processing {(ICPP} 2003), 6-9 October 2003, Kaohsiung, Taiwan}, pages = {77--86}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ICPP.2003.1240568}, doi = {10.1109/ICPP.2003.1240568}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/LysnePD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/BermudezCQPD03, author = {Aurelio Berm{\'{u}}dez and Rafael Casado and Francisco J. Quiles and Timothy Mark Pinkston and Jos{\'{e}} Duato}, title = {Evaluation of a Subnet Management Mechanism for InfiniBand Networks}, booktitle = {32nd International Conference on Parallel Processing {(ICPP} 2003), 6-9 October 2003, Kaohsiung, Taiwan}, pages = {117}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ICPP.2003.1240572}, doi = {10.1109/ICPP.2003.1240572}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/BermudezCQPD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/AlfaroSD03, author = {Francisco Jos{\'{e}} Alfaro and Jos{\'{e}} L. S{\'{a}}nchez and Jos{\'{e}} Duato}, title = {A New Proposal to Fill in the InfiniBand Arbitration Tables}, booktitle = {32nd International Conference on Parallel Processing {(ICPP} 2003), 6-9 October 2003, Kaohsiung, Taiwan}, pages = {133}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ICPP.2003.1240574}, doi = {10.1109/ICPP.2003.1240574}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/AlfaroSD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/SanchoRLFD03, author = {Jos{\'{e}} Carlos Sancho and Antonio Robles and Pedro L{\'{o}}pez and Jos{\'{e}} Flich and Jos{\'{e}} Duato}, title = {Routing in InfiniBandTM Torus Network Topologie}, booktitle = {32nd International Conference on Parallel Processing {(ICPP} 2003), 6-9 October 2003, Kaohsiung, Taiwan}, pages = {509--518}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ICPP.2003.1240618}, doi = {10.1109/ICPP.2003.1240618}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/SanchoRLFD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/MartinezFRLD03, author = {Juan Carlos Mart{\'{\i}}nez and Jos{\'{e}} Flich and Antonio Robles and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Supporting Fully Adaptive Routing in InfiniBand Networks}, booktitle = {17th International Parallel and Distributed Processing Symposium {(IPDPS} 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings}, pages = {44}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/IPDPS.2003.1213130}, doi = {10.1109/IPDPS.2003.1213130}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/MartinezFRLD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/GomezFRLD03, author = {Mar{\'{\i}}a Engracia G{\'{o}}mez and Jos{\'{e}} Flich and Antonio Robles and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {{VOQSW:} {A} Methodology to Reduce {HOL} Blocking in InfiniBand Networks}, booktitle = {17th International Parallel and Distributed Processing Symposium {(IPDPS} 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings}, pages = {46}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/IPDPS.2003.1213134}, doi = {10.1109/IPDPS.2003.1213134}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/GomezFRLD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/CamineroCQDY03, author = {Mar{\'{\i}}a Blanca Caminero and Carmen Carri{\'{o}}n and Francisco J. Quiles and Jos{\'{e}} Duato and Sudhakar Yalamanchili}, title = {A Solution for Handling Hybrid Traffic in Clustered Environments: The MultiMedia Router {MMR}}, booktitle = {17th International Parallel and Distributed Processing Symposium {(IPDPS} 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings}, pages = {197}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/IPDPS.2003.1213362}, doi = {10.1109/IPDPS.2003.1213362}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/CamineroCQDY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/SanchoMRLFD03, author = {Jos{\'{e}} Carlos Sancho and Juan Carlos Mart{\'{\i}}nez and Antonio Robles and Pedro L{\'{o}}pez and Jos{\'{e}} Flich and Jos{\'{e}} Duato}, title = {Performance Evaluation of COWs under Real Parallel Application}, booktitle = {17th International Parallel and Distributed Processing Symposium {(IPDPS} 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings}, pages = {202}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/IPDPS.2003.1213371}, doi = {10.1109/IPDPS.2003.1213371}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/SanchoMRLFD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nca/GarciaDS03, author = {Rom{\'{a}}n Garc{\'{\i}}a and Jos{\'{e}} Duato and Federico Silla}, title = {{LSOM:} {A} Link State Protocol Over Mac Addresses for Metropolitan Backbones Using Optical Ethernet Switches}, booktitle = {2nd {IEEE} International Symposium on Network Computing and Applications {(NCA} 2003), 16-18 April 2003, Cambridge, MA, {USA}}, pages = {315--321}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/NCA.2003.1201170}, doi = {10.1109/NCA.2003.1201170}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nca/GarciaDS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/MartinezFRLD03, author = {Juan Carlos Mart{\'{\i}}nez and Jos{\'{e}} Flich and Antonio Robles and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Supporting Adaptive Routing in InfiniBand Networks}, booktitle = {11th Euromicro Workshop on Parallel, Distributed and Network-Based Processing {(PDP} 2003), 5-7 February 2003, Genova, Italy}, pages = {165--172}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/EMPDP.2003.1183583}, doi = {10.1109/EMPDP.2003.1183583}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/MartinezFRLD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/CamineroCQDY03, author = {Mar{\'{\i}}a Blanca Caminero and Carmen Carri{\'{o}}n and Francisco J. Quiles and Jos{\'{e}} Duato and Sudhakar Yalamanchili}, editor = {Hamid R. Arabnia and Youngsong Mun}, title = {A Hardware Approach to QoS Support in Cluster Environments: The Multimedia Router {MMR}}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} '03, June 23 - 26, 2003, Las Vegas, Nevada, USA, Volume 1}, pages = {220--226}, publisher = {{CSREA} Press}, year = {2003}, timestamp = {Mon, 30 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdpta/CamineroCQDY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/PinkstonZD03, author = {Timothy Mark Pinkston and Bilal Zafar and Jos{\'{e}} Duato}, editor = {Hamid R. Arabnia and Youngsong Mun}, title = {A Method for Applying Double Scheme Dynamic Reconfiguration over InfiniBand\({}^{\mbox{TM}}\)}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} '03, June 23 - 26, 2003, Las Vegas, Nevada, USA, Volume 2}, pages = {793--800}, publisher = {{CSREA} Press}, year = {2003}, timestamp = {Sat, 12 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdpta/PinkstonZD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/CollDPM03, author = {Salvador Coll and Jos{\'{e}} Duato and Fabrizio Petrini and Francisco J. Mora}, title = {Scalable Hardware-Based Multicast Trees}, booktitle = {Proceedings of the {ACM/IEEE} {SC2003} Conference on High Performance Networking and Computing, 15-21 November 2003, Phoenix, AZ, USA, CD-Rom}, pages = {54}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/1048935.1050205}, doi = {10.1145/1048935.1050205}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/CollDPM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cai/OrdunaSD02, author = {Juan M. Ordu{\~{n}}a and Federico Silla and Jos{\'{e}} Duato}, title = {A Clustering Method for Modeling the Communication Requirements of Message-Passing Applications}, journal = {Comput. Artif. Intell.}, volume = {21}, number = {1}, pages = {1--16}, year = {2002}, url = {http://www.cai.sk/ojs/index.php/cai/article/view/503}, timestamp = {Mon, 14 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cai/OrdunaSD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/FlichLMD02, author = {Jos{\'{e}} Flich and Pedro L{\'{o}}pez and Manuel P. Malumbres and Jos{\'{e}} Duato}, title = {Boosting the Performance of Myrinet Networks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {13}, number = {7}, pages = {693--709}, year = {2002}, url = {https://doi.org/10.1109/TPDS.2002.1019859}, doi = {10.1109/TPDS.2002.1019859}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/FlichLMD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/FlichLMD02a, author = {Jos{\'{e}} Flich and Pedro L{\'{o}}pez and Manuel P. Malumbres and Jos{\'{e}} Duato}, title = {Boosting the Performance of Myrinet Networks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {13}, number = {11}, pages = {1166--1182}, year = {2002}, url = {https://doi.org/10.1109/TPDS.2002.1058099}, doi = {10.1109/TPDS.2002.1058099}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/FlichLMD02a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEmsp/CamineroCQDY02, author = {Mar{\'{\i}}a Blanca Caminero and Carmen Carri{\'{o}}n and Francisco J. Quiles and Jos{\'{e}} Duato and Sudhakar Yalamanchili}, title = {A new switch scheduling algorithm to improve QoS in the multimedia router}, booktitle = {{IEEE} 5th Workshop on Multimedia Signal Processing, {MMSP} 2002, St. Thomas, Virgin Islands, USA, December 9-11, 2002}, pages = {376--379}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/MMSP.2002.1203324}, doi = {10.1109/MMSP.2002.1203324}, timestamp = {Mon, 30 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEmsp/CamineroCQDY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/AcacioGGD02, author = {Manuel E. Acacio and Jos{\'{e}} Gonz{\'{a}}lez and Jos{\'{e}} M. Garc{\'{\i}}a and Jos{\'{e}} Duato}, title = {The Use of Prediction for Accelerating Upgrade Misses in cc-NUMA Multiprocessors}, booktitle = {2002 International Conference on Parallel Architectures and Compilation Techniques {(PACT} 2002), 22-25 September 2002, Charlottesville, VA, {USA}}, pages = {155--164}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/PACT.2002.1106014}, doi = {10.1109/PACT.2002.1106014}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/AcacioGGD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/ParcerisaSGD02, author = {Joan{-}Manuel Parcerisa and Julio Sahuquillo and Antonio Gonz{\'{a}}lez and Jos{\'{e}} Duato}, title = {Efficient Interconnects for Clustered Microarchitectures}, booktitle = {2002 International Conference on Parallel Architectures and Compilation Techniques {(PACT} 2002), 22-25 September 2002, Charlottesville, VA, {USA}}, pages = {291--300}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/PACT.2002.1106028}, doi = {10.1109/PACT.2002.1106028}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/ParcerisaSGD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cluster/YumKDYD02, author = {Ki Hwan Yum and Eun Jung Kim and Chita R. Das and Mazin S. Yousif and Jos{\'{e}} Duato}, title = {Integrated Admission and Congestion Control for QoS Support in Clusters}, booktitle = {2002 {IEEE} International Conference on Cluster Computing {(CLUSTER} 2002), 23-26 September 2002, Chicago, IL, {USA}}, pages = {325--332}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/CLUSTR.2002.1137761}, doi = {10.1109/CLUSTR.2002.1137761}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cluster/YumKDYD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euromicro/BernabeGGD02, author = {Gregorio Bernab{\'{e}} and Jos{\'{e}} Gonz{\'{a}}lez and Jos{\'{e}} M. Garc{\'{\i}}a and Jos{\'{e}} Duato}, title = {Memory Conscious 3D Wavelet Transform}, booktitle = {28th {EUROMICRO} Conference 2002, 4-6 September 2002, Dortmund, Germany}, pages = {108--113}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/EURMIC.2002.1046141}, doi = {10.1109/EURMIC.2002.1046141}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/euromicro/BernabeGGD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/GomezFRLD02, author = {Mar{\'{\i}}a Engracia G{\'{o}}mez and Jos{\'{e}} Flich and Antonio Robles and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {Burkhard Monien and Rainer Feldmann}, title = {Evaluation of Routing Algorithms for InfiniBand Networks (Research Note)}, booktitle = {Euro-Par 2002, Parallel Processing, 8th International Euro-Par Conference Paderborn, Germany, August 27-30, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2400}, pages = {775--780}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45706-2\_108}, doi = {10.1007/3-540-45706-2\_108}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/europar/GomezFRLD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/BaydalLD02, author = {Elvira Baydal and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {Burkhard Monien and Rainer Feldmann}, title = {Congestion Control Based on Transmission Times}, booktitle = {Euro-Par 2002, Parallel Processing, 8th International Euro-Par Conference Paderborn, Germany, August 27-30, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2400}, pages = {781--790}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45706-2\_109}, doi = {10.1007/3-540-45706-2\_109}, timestamp = {Wed, 19 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/BaydalLD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/PaulYD02, author = {Indrani Paul and Sudhakar Yalamanchili and Jos{\'{e}} Duato}, editor = {Sartaj Sahni and Viktor K. Prasanna and Uday Shukla}, title = {Algorithms for Switch-Scheduling in the Multimedia Router for LANs}, booktitle = {High Performance Computing - HiPC 2002, 9th International Conference, Bangalore, India, December 18-21, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2552}, pages = {219--231}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-36265-7\_21}, doi = {10.1007/3-540-36265-7\_21}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/hipc/PaulYD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/CamineroCQDY02, author = {Mar{\'{\i}}a Blanca Caminero and Carmen Carri{\'{o}}n and Francisco J. Quiles and Jos{\'{e}} Duato and Sudhakar Yalamanchili}, title = {A multimedia router architecture to provide high performance and QoS guarantees to mixed traffic}, booktitle = {Proceedings of the 2002 {IEEE} International Conference on Multimedia and Expo, {ICME} 2002, Lausanne, Switzerland. August 26-29, 2002. Volume {I}}, pages = {313--316}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ICME.2002.1035781}, doi = {10.1109/ICME.2002.1035781}, timestamp = {Mon, 30 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/CamineroCQDY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/SanchoRFLD02, author = {Jos{\'{e}} Carlos Sancho and Antonio Robles and Jos{\'{e}} Flich and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Effective Methodology for Deadlock-Free Minimal Routing in InfiniBand Networks}, booktitle = {31st International Conference on Parallel Processing {(ICPP} 2002), 20-23 August 2002, Vancouver, BC, Canada}, pages = {409--418}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ICPP.2002.1040897}, doi = {10.1109/ICPP.2002.1040897}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/SanchoRFLD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/AcacioGGD02, author = {Manuel E. Acacio and Jos{\'{e}} Gonz{\'{a}}lez and Jos{\'{e}} M. Garc{\'{\i}}a and Jos{\'{e}} Duato}, title = {A Novel Approach to Reduce {L2} Miss Latency in Shared-Memory Multiprocessors}, booktitle = {16th International Parallel and Distributed Processing Symposium {(IPDPS} 2002), 15-19 April 2002, Fort Lauderdale, FL, USA, CD-ROM/Abstracts Proceedings}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/IPDPS.2002.1015554}, doi = {10.1109/IPDPS.2002.1015554}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/AcacioGGD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/AlfaroSD02, author = {Francisco Jos{\'{e}} Alfaro and Jos{\'{e}} L. S{\'{a}}nchez and Jos{\'{e}} Duato}, title = {A Strategy to Manage Time Sensitive Traffic in InfiniBand}, booktitle = {16th International Parallel and Distributed Processing Symposium {(IPDPS} 2002), 15-19 April 2002, Fort Lauderdale, FL, USA, CD-ROM/Abstracts Proceedings}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/IPDPS.2002.1016569}, doi = {10.1109/IPDPS.2002.1016569}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/AlfaroSD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/AlfaroSDD02, author = {Francisco Jos{\'{e}} Alfaro and Jos{\'{e}} L. S{\'{a}}nchez and Jos{\'{e}} Duato and Chita R. Das}, title = {A Strategy to Compute the InfiniBand Arbitration Tables}, booktitle = {16th International Parallel and Distributed Processing Symposium {(IPDPS} 2002), 15-19 April 2002, Fort Lauderdale, FL, USA, CD-ROM/Abstracts Proceedings}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/IPDPS.2002.1015474}, doi = {10.1109/IPDPS.2002.1015474}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/AlfaroSDD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/CamineroCQDY02, author = {Mar{\'{\i}}a Blanca Caminero and Carmen Carri{\'{o}}n and Francisco J. Quiles and Jos{\'{e}} Duato and Sudhakar Yalamanchili}, title = {Investigating Switch Scheduling Algorithms to Support QoS in the Multimedia Router}, booktitle = {16th International Parallel and Distributed Processing Symposium {(IPDPS} 2002), 15-19 April 2002, Fort Lauderdale, FL, USA, CD-ROM/Abstracts Proceedings}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/IPDPS.2002.1016561}, doi = {10.1109/IPDPS.2002.1016561}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/CamineroCQDY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/PandaDS02, author = {Dhabaleswar K. Panda and Jos{\'{e}} Duato and Craig B. Stunkel}, title = {Workshop Introduction}, booktitle = {16th International Parallel and Distributed Processing Symposium {(IPDPS} 2002), 15-19 April 2002, Fort Lauderdale, FL, USA, CD-ROM/Abstracts Proceedings}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.ieeecomputersociety.org/10.1109/IPDPS.2002.10010}, doi = {10.1109/IPDPS.2002.10010}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/PandaDS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/SanchoFRLD02, author = {Jos{\'{e}} Carlos Sancho and Jos{\'{e}} Flich and Antonio Robles and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Analyzing the Influence of Virtual Lanes on the Performance of InfiniBand Networks}, booktitle = {16th International Parallel and Distributed Processing Symposium {(IPDPS} 2002), 15-19 April 2002, Fort Lauderdale, FL, USA, CD-ROM/Abstracts Proceedings}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/IPDPS.2002.1016568}, doi = {10.1109/IPDPS.2002.1016568}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/SanchoFRLD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ishpc/BaydalLD02, author = {Elvira Baydal and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {Hans P. Zima and Kazuki Joe and Mitsuhisa Sato and Yoshiki Seo and Masaaki Shimasaki}, title = {Avoiding Network Congestion with Local Information}, booktitle = {High Performance Computing, 4th International Symposium, {ISHPC} 2002, Kansai Science City, Japan, May 15-17, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2327}, pages = {35--48}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-47847-7\_6}, doi = {10.1007/3-540-47847-7\_6}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ishpc/BaydalLD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ishpc/FlichLSRD02, author = {Jos{\'{e}} Flich and Pedro L{\'{o}}pez and Jos{\'{e}} Carlos Sancho and Antonio Robles and Jos{\'{e}} Duato}, editor = {Hans P. Zima and Kazuki Joe and Mitsuhisa Sato and Yoshiki Seo and Masaaki Shimasaki}, title = {Improving InfiniBand Routing through Multiple Virtual Networks}, booktitle = {High Performance Computing, 4th International Symposium, {ISHPC} 2002, Kansai Science City, Japan, May 15-17, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2327}, pages = {49--63}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-47847-7\_7}, doi = {10.1007/3-540-47847-7\_7}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ishpc/FlichLSRD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcn/FernandezGD02, author = {Juan Fern{\'{a}}ndez and Jos{\'{e}} M. Garc{\'{\i}}a and Jos{\'{e}} Duato}, title = {Improving the Performance of Real-Time Communication Services on High-Speed LANs under Topology Changes}, booktitle = {27th Annual {IEEE} Conference on Local Computer Networks {(LCN} 2002), 6-8 November 2002, Tampa, FL, USA, Proceedings}, pages = {385--394}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/LCN.2002.1181810}, doi = {10.1109/LCN.2002.1181810}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lcn/FernandezGD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/SanchoRD02, author = {Jos{\'{e}} Carlos Sancho and Antonio Robles and Jos{\'{e}} Duato}, title = {Performance Sensitivity of Routing Algorithms to Failures in Networks of Workstations with Regular and Irregular Topologies}, booktitle = {10th Euromicro Workshop on Parallel, Distributed and Network-Based Processing {(PDP} 2002), 9-11 January 2002, Canary Islands, Spain}, pages = {81--90}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/EMPDP.2002.994237}, doi = {10.1109/EMPDP.2002.994237}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/SanchoRD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/AcacioGGD02, author = {Manuel E. Acacio and Jos{\'{e}} Gonz{\'{a}}lez and Jos{\'{e}} M. Garc{\'{\i}}a and Jos{\'{e}} Duato}, title = {Reducing the Latency of {L2} Misses in Shared-Memory Multiprocessors through On-Chip Directory Integration}, booktitle = {10th Euromicro Workshop on Parallel, Distributed and Network-Based Processing {(PDP} 2002), 9-11 January 2002, Canary Islands, Spain}, pages = {368--375}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/EMPDP.2002.994312}, doi = {10.1109/EMPDP.2002.994312}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/AcacioGGD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/BaydalLD02, author = {Elvira Baydal and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Increasing the Adaptivity of Routing Algorithms for k-ary n-cubes}, booktitle = {10th Euromicro Workshop on Parallel, Distributed and Network-Based Processing {(PDP} 2002), 9-11 January 2002, Canary Islands, Spain}, pages = {455--462}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/EMPDP.2002.994333}, doi = {10.1109/EMPDP.2002.994333}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/BaydalLD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/FlichMLD02, author = {Jos{\'{e}} Flich and Manuel P. Malumbres and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Removing the Latency Overhead of the {ITB} Mechanism in COWs with Source Routing}, booktitle = {10th Euromicro Workshop on Parallel, Distributed and Network-Based Processing {(PDP} 2002), 9-11 January 2002, Canary Islands, Spain}, pages = {463--470}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/EMPDP.2002.994334}, doi = {10.1109/EMPDP.2002.994334}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/FlichMLD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/AcacioGGD02, author = {Manuel E. Acacio and Jos{\'{e}} Gonz{\'{a}}lez and Jos{\'{e}} M. Garc{\'{\i}}a and Jos{\'{e}} Duato}, editor = {Roscoe C. Giles and Daniel A. Reed and Kathryn Kelley}, title = {Owner prediction for accelerating cache-to-cache transfer misses in a cc-NUMA architecture}, booktitle = {Proceedings of the 2002 {ACM/IEEE} conference on Supercomputing, Baltimore, Maryland, USA, November 16-22, 2002, {CD-ROM}}, pages = {1:1--1:12}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/SC.2002.10063}, doi = {10.1109/SC.2002.10063}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/AcacioGGD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cai/OrdunaSD01, author = {Juan M. Ordu{\~{n}}a and Federico Silla and Jos{\'{e}} Duato}, title = {Towards a Communication-Aware Task Scheduling Strategy for Heterogeneous Systems}, journal = {Comput. Artif. Intell.}, volume = {20}, number = {3}, pages = {245--267}, year = {2001}, url = {http://www.cai.sk/ojs/index.php/cai/article/view/522}, timestamp = {Mon, 14 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cai/OrdunaSD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/DuatoRSB01, author = {Jos{\'{e}} Duato and Antonio Robles and Federico Silla and Ram{\'{o}}n Beivide}, title = {A Comparison of Router Architectures for Virtual Cut-Through and Wormhole Switching in a {NOW} Environment}, journal = {J. Parallel Distributed Comput.}, volume = {61}, number = {2}, pages = {224--253}, year = {2001}, url = {https://doi.org/10.1006/jpdc.2000.1679}, doi = {10.1006/JPDC.2000.1679}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/DuatoRSB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/CasadoBDQS01, author = {Rafael Casado and Aurelio Berm{\'{u}}dez and Jos{\'{e}} Duato and Francisco J. Quiles and Jos{\'{e}} L. S{\'{a}}nchez}, title = {A Protocol for Deadlock-Free Dynamic Reconfiguration in High-Speed Local Area Networks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {12}, number = {2}, pages = {115--132}, year = {2001}, url = {https://doi.org/10.1109/71.910868}, doi = {10.1109/71.910868}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/CasadoBDQS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/Martinez-RubioLD01, author = {Juan{-}Miguel Martinez{-}Rubio and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {A Cost-Effective Approach to Deadlock Handling in Wormhole Networks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {12}, number = {7}, pages = {716--729}, year = {2001}, url = {https://doi.org/10.1109/71.940746}, doi = {10.1109/71.940746}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/Martinez-RubioLD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/DuatoP01, author = {Jos{\'{e}} Duato and Timothy Mark Pinkston}, title = {A General Theory for Deadlock-Free Adaptive Routing Using a Mixed Set of Resources}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {12}, number = {12}, pages = {1219--1235}, year = {2001}, url = {https://doi.org/10.1109/71.970556}, doi = {10.1109/71.970556}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/DuatoP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/anss/MoleroSSD01, author = {Xavier Molero and Federico Silla and Vicente Santonja and Jos{\'{e}} Duato}, title = {A Tool for the Design and Evaluation of Fibre Channel Storage Area Networks}, booktitle = {Proceedings 34th Annual Simulation Symposium {(SS} 2001), Seattle, WA, USA, 22-26 April 2001}, pages = {133}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/SIMSYM.2001.922125}, doi = {10.1109/SIMSYM.2001.922125}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/anss/MoleroSSD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcv/MoyanoQGDO01, author = {E. Moyano and Francisco J. Quiles and Antonio Jose Garrido del Solo and Jos{\'{e}} Duato and Luis Orozco{-}Barbosa}, title = {Efficient 3d Wavelet Transform Decomposition For Video Compression}, booktitle = {2nd International Workshop on Digital and Computational Video {(DCV} 2001), 9 February 2001, Tampa, Florida, {USA}}, pages = {118--125}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DCV.2001.929950}, doi = {10.1109/DCV.2001.929950}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dcv/MoyanoQGDO01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/AlcoverCD01, author = {Rosa Alcover and Vicente Chirivella and Jos{\'{e}} Duato}, editor = {Rizos Sakellariou and John A. Keane and John R. Gurd and Len Freeman}, title = {Improving the Accuracy of Reliability Models for Direct Interconnection Networks}, booktitle = {Euro-Par 2001: Parallel Processing, 7th International Euro-Par Conference Manchester, {UK} August 28-31, 2001, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2150}, pages = {621--629}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-44681-8\_89}, doi = {10.1007/3-540-44681-8\_89}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/europar/AlcoverCD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/MoleroSSD01, author = {Xavier Molero and Federico Silla and Vicente Santonja and Jos{\'{e}} Duato}, editor = {Rizos Sakellariou and John A. Keane and John R. Gurd and Len Freeman}, title = {Improving Network Performance by Efficiently Dealing with Short Control Messages in Fibre Channel SANs}, booktitle = {Euro-Par 2001: Parallel Processing, 7th International Euro-Par Conference Manchester, {UK} August 28-31, 2001, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2150}, pages = {901--910}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-44681-8\_125}, doi = {10.1007/3-540-44681-8\_125}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/MoleroSSD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/FernandezGD01, author = {Juan Fern{\'{a}}ndez and Jos{\'{e}} M. Garc{\'{\i}}a and Jos{\'{e}} Duato}, editor = {Burkhard Monien and Viktor K. Prasanna and Sriram Vajapeyam}, title = {Performance Evaluation of Real-Time Communication Services on High-Speed LANs under Topology Changes}, booktitle = {High Performance Computing - HiPC 2001, 8th International Conference, Hyderabad, India, December, 17-20, 2001, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2228}, pages = {341--350}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-45307-5\_30}, doi = {10.1007/3-540-45307-5\_30}, timestamp = {Fri, 07 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipc/FernandezGD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/AcacioGGD01, author = {Manuel E. Acacio and Jos{\'{e}} Gonz{\'{a}}lez and Jos{\'{e}} M. Garc{\'{\i}}a and Jos{\'{e}} Duato}, title = {A New Scalable Directory Architecture for Large-Scale Multiprocessors}, booktitle = {Proceedings of the Seventh International Symposium on High-Performance Computer Architecture (HPCA'01), Nuevo Leone, Mexico, January 20-24, 2001}, pages = {97--106}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/HPCA.2001.903255}, doi = {10.1109/HPCA.2001.903255}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/AcacioGGD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icn/CamineroCQDY01, author = {Mar{\'{\i}}a Blanca Caminero and Carmen Carri{\'{o}}n and Francisco J. Quiles and Jos{\'{e}} Duato and Sudhakar Yalamanchili}, editor = {Pascal Lorenz}, title = {A Cost-Effective Hardware Link Scheduling Algorithm for the Multimedia Router {(MMR)}}, booktitle = {Networking - {ICN} 2001, First International Conference, Colmar, France, July 9-13, 2001 Proceedings, Part 2}, series = {Lecture Notes in Computer Science}, volume = {2094}, pages = {358--369}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-47734-9\_36}, doi = {10.1007/3-540-47734-9\_36}, timestamp = {Mon, 30 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icn/CamineroCQDY01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/MoleroSSD01, author = {Xavier Molero and Federico Silla and Vicente Santonja and Jos{\'{e}} Duato}, title = {On the Switch Architecture for Fibre Channel Storage Area Networks}, booktitle = {Eigth International Conference on Parallel and Distributed Systems, {ICPADS} 2001, KyongJu City, Korea, June 26-29, 2001}, pages = {484--491}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICPADS.2001.934857}, doi = {10.1109/ICPADS.2001.934857}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/MoleroSSD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/SanchoRD01, author = {Jos{\'{e}} Carlos Sancho and Antonio Robles and Jos{\'{e}} Duato}, editor = {Lionel M. Ni and Mateo Valero}, title = {Effective Strategy to Compute Forwarding Tables for InfiniBand Networks}, booktitle = {Proceedings of the 2001 International Conference on Parallel Processing, {ICPP} 2002, 3-7 September 2001, Valencia, Spain}, pages = {48--60}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICPP.2001.952046}, doi = {10.1109/ICPP.2001.952046}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/SanchoRD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/LopezFD01, author = {Pedro L{\'{o}}pez and Jos{\'{e}} Flich and Jos{\'{e}} Duato}, editor = {Lionel M. Ni and Mateo Valero}, title = {Deadlock-Free Routing in InfiniBand through Destination Renaming}, booktitle = {Proceedings of the 2001 International Conference on Parallel Processing, {ICPP} 2002, 3-7 September 2001, Valencia, Spain}, pages = {427--436}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICPP.2001.952089}, doi = {10.1109/ICPP.2001.952089}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/LopezFD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/ChirivellaAD01, author = {Vicente Chirivella and Rosa Alcover and Jos{\'{e}} Duato}, editor = {Lionel M. Ni and Mateo Valero}, title = {Accurate Availability Model for Direct Interconnection Networks}, booktitle = {Proceedings of the 2001 International Conference on Parallel Processing, {ICPP} 2002, 3-7 September 2001, Valencia, Spain}, pages = {517--524}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICPP.2001.952099}, doi = {10.1109/ICPP.2001.952099}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/ChirivellaAD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icppw/OrdunaSD01, author = {Juan M. Ordu{\~{n}}a and Federico Silla and Jos{\'{e}} Duato}, title = {A New Task Mapping Technique for Communication-Aware Scheduling Strategies}, booktitle = {30th International Workshops on Parallel Processing {(ICPP} 2001 Workshops), 3-7 September 2001, Valencia, Spain}, pages = {349--354}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICPPW.2001.951971}, doi = {10.1109/ICPPW.2001.951971}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icppw/OrdunaSD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/FlichLMDR01, author = {Jos{\'{e}} Flich and Pedro L{\'{o}}pez and Manuel P. Malumbres and Jos{\'{e}} Duato and Tomas Rokicki}, title = {Improving Network Performance by Reducing Network Contention in Source-Based COWs with a Low Path-Computation Overhead}, booktitle = {Proceedings of the 15th International Parallel {\&} Distributed Processing Symposium (IPDPS-01), San Francisco, CA, USA, April 23-27, 2001}, pages = {70}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/IPDPS.2001.925016}, doi = {10.1109/IPDPS.2001.925016}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/FlichLMDR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/FernandezGD01, author = {Joaquin Fern{\'{a}}ndez and Jos{\'{e}} M. Garc{\'{\i}}a and Jos{\'{e}} Duato}, title = {A New Approach to Provide Real-Time Services on High-Speed Local Area Networks}, booktitle = {Proceedings of the 15th International Parallel {\&} Distributed Processing Symposium (IPDPS-01), San Francisco, CA, USA, April 23-27, 2001}, pages = {124}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/IPDPS.2001.925099}, doi = {10.1109/IPDPS.2001.925099}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/FernandezGD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/CamineroCQDY01, author = {Mar{\'{\i}}a Blanca Caminero and Carmen Carri{\'{o}}n and Francisco J. Quiles and Jos{\'{e}} Duato and Sudhakar Yalamanchili}, title = {Tuning Buffer Size in the Multimedia Router {(MMR)}}, booktitle = {Proceedings of the 15th International Parallel {\&} Distributed Processing Symposium (IPDPS-01), San Francisco, CA, USA, April 23-27, 2001}, pages = {160}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/IPDPS.2001.925147}, doi = {10.1109/IPDPS.2001.925147}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/CamineroCQDY01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/CollFMLDM01, author = {Salvador Coll and Jos{\'{e}} Flich and Manuel P. Malumbres and Pedro L{\'{o}}pez and Jos{\'{e}} Duato and Francisco J. Mora}, title = {A First Implementation of In-Transit Buffers on Myrinet {GM} Software}, booktitle = {Proceedings of the 15th International Parallel {\&} Distributed Processing Symposium (IPDPS-01), San Francisco, CA, USA, April 23-27, 2001}, pages = {162}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/IPDPS.2001.925150}, doi = {10.1109/IPDPS.2001.925150}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/CollFMLDM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/MoleroSSD01, author = {Xavier Molero and Federico Silla and Vicente Santonja and Jos{\'{e}} Duato}, title = {On the Interconnection Topology for Storage Area Networks}, booktitle = {Proceedings of the 15th International Parallel {\&} Distributed Processing Symposium (IPDPS-01), San Francisco, CA, USA, April 23-27, 2001}, pages = {162}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/IPDPS.2001.925151}, doi = {10.1109/IPDPS.2001.925151}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/MoleroSSD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nca/CasadoBQD01, author = {Rafael Casado and Aurelio Berm{\'{u}}dez and Francisco J. Quiles and Jos{\'{e}} Duato}, title = {Influence of Network Size and Load on the Performance of Reconfiguration Protocols}, booktitle = {{IEEE} International Symposium on Network Computing and Applications {(NCA} 2001), October 8-10, 2001, Cambridge, MA, {USA}}, pages = {46--59}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/NCA.2001.962515}, doi = {10.1109/NCA.2001.962515}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nca/CasadoBQD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nca/Duato01, author = {Jos{\'{e}} Duato}, title = {On the Design of High-Speed Switch Fabrics}, booktitle = {{IEEE} International Symposium on Network Computing and Applications {(NCA} 2001), October 8-10, 2001, Cambridge, MA, {USA}}, pages = {158}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/NCA.2001.962527}, doi = {10.1109/NCA.2001.962527}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nca/Duato01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nca/MoleroSSD01, author = {Xavier Molero and Federico Silla and Vicente Santonja and Jos{\'{e}} Duato}, title = {On the Scalability of Topologies for Storage Area Networks in Building Environments}, booktitle = {{IEEE} International Symposium on Network Computing and Applications {(NCA} 2001), October 8-10, 2001, Cambridge, MA, {USA}}, pages = {332--335}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/NCA.2001.962549}, doi = {10.1109/NCA.2001.962549}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nca/MoleroSSD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/MoleroSSD01, author = {Xavier Molero and Federico Silla and Vicente Santonja and Jos{\'{e}} Duato}, title = {On the Impact of Message Packetization in Networks of Workstations with Irregular Topology}, booktitle = {Ninth Euromicro Workshop on Parallel and Distributed Processing, {PDP} 2001, 7-9 February 2001, Mantova, Italy}, pages = {3--10}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/EMPDP.2001.904960}, doi = {10.1109/EMPDP.2001.904960}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/MoleroSSD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/SanchoRD01, author = {Jos{\'{e}} Carlos Sancho and Antonio Robles and Jos{\'{e}} Duato}, title = {On the Relative Behavior of Source and Distributed Routing in NOWs Using Up/Down Routing Schemes}, booktitle = {Ninth Euromicro Workshop on Parallel and Distributed Processing, {PDP} 2001, 7-9 February 2001, Mantova, Italy}, pages = {11--18}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/EMPDP.2001.904962}, doi = {10.1109/EMPDP.2001.904962}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/SanchoRD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/BaydalLD01, author = {Elvira Baydal and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {A Congestion Control Mechanism for Wormhole Networks}, booktitle = {Ninth Euromicro Workshop on Parallel and Distributed Processing, {PDP} 2001, 7-9 February 2001, Mantova, Italy}, pages = {19--26}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/EMPDP.2001.904965}, doi = {10.1109/EMPDP.2001.904965}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/BaydalLD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/MalumbresD00, author = {Manuel P. Malumbres and Jos{\'{e}} Duato}, title = {An efficient implementation of tree-based multicast routing for distributed shared-memory multiprocessors}, journal = {J. Syst. Archit.}, volume = {46}, number = {11}, pages = {1019--1032}, year = {2000}, url = {https://doi.org/10.1016/S1383-7621(00)00007-2}, doi = {10.1016/S1383-7621(00)00007-2}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/MalumbresD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/SuhDDY00, author = {Young{-}Joo Suh and Binh Vien Dao and Jos{\'{e}} Duato and Sudhakar Yalamanchili}, title = {Software-Based Rerouting for Fault-Tolerant Pipelined Communication}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {11}, number = {3}, pages = {193--211}, year = {2000}, url = {https://doi.org/10.1109/71.841738}, doi = {10.1109/71.841738}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/SuhDDY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/SillaD00, author = {Federico Silla and Jos{\'{e}} Duato}, title = {High-Performance Routing in Networks of Workstations with Irregular Topology}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {11}, number = {7}, pages = {699--719}, year = {2000}, url = {https://doi.org/10.1109/71.877816}, doi = {10.1109/71.877816}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/SillaD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/SillaD00a, author = {Federico Silla and Jos{\'{e}} Duato}, title = {On the Use of Virtual Channels in Networks of Workstations with Irregular Topology}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {11}, number = {8}, pages = {813--828}, year = {2000}, url = {https://doi.org/10.1109/71.877939}, doi = {10.1109/71.877939}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/SillaD00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/canpc/SanchoRD00, author = {Jos{\'{e}} Carlos Sancho and Antonio Robles and Jos{\'{e}} Duato}, editor = {Babak Falsafi and Mario Lauria}, title = {A New Methodology to Computer Deadlock-Free Routing Tables for Irregular Networks}, booktitle = {Network-Based Parallel Computing: Communication, Architecture, and Applications, 4th International Workshop, {CANPC} 2000, Toulouse, France, January 8, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1797}, pages = {45--60}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/10720115\_4}, doi = {10.1007/10720115\_4}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/canpc/SanchoRD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/canpc/AlfaroBCDQS00, author = {Francisco Jos{\'{e}} Alfaro and Aurelio Berm{\'{u}}dez and Rafael Casado and Jos{\'{e}} Duato and Francisco J. Quiles and Jos{\'{e}} L. S{\'{a}}nchez}, editor = {Babak Falsafi and Mario Lauria}, title = {On the Performance of Up*/Down* Routing}, booktitle = {Network-Based Parallel Computing: Communication, Architecture, and Applications, 4th International Workshop, {CANPC} 2000, Toulouse, France, January 8, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1797}, pages = {61--72}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/10720115\_5}, doi = {10.1007/10720115\_5}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/canpc/AlfaroBCDQS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/canpc/BermudezACDQS00, author = {Aurelio Berm{\'{u}}dez and Francisco Jos{\'{e}} Alfaro and Rafael Casado and Jos{\'{e}} Duato and Francisco J. Quiles and Jos{\'{e}} L. S{\'{a}}nchez}, editor = {Babak Falsafi and Mario Lauria}, title = {Extending Dynamic Reconfiguration to NOWs with Adaptive Routing}, booktitle = {Network-Based Parallel Computing: Communication, Architecture, and Applications, 4th International Workshop, {CANPC} 2000, Toulouse, France, January 8, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1797}, pages = {73--83}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/10720115\_6}, doi = {10.1007/10720115\_6}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/canpc/BermudezACDQS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/canpc/BuntinasPDS00, author = {Darius Buntinas and Dhabaleswar K. Panda and Jos{\'{e}} Duato and P. Sadayappan}, editor = {Babak Falsafi and Mario Lauria}, title = {Broadcast/Multicast over Myrinet Using NIC-Assisted Multidestination Messages}, booktitle = {Network-Based Parallel Computing: Communication, Architecture, and Applications, 4th International Workshop, {CANPC} 2000, Toulouse, France, January 8, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1797}, pages = {115--129}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/10720115\_9}, doi = {10.1007/10720115\_9}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/canpc/BuntinasPDS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cluster/OrdunaAD00, author = {Juan M. Ordu{\~{n}}a and Vicente Arnau and Jos{\'{e}} Duato}, title = {Characterization of Communications between Processes in Message-Passing Applications}, booktitle = {2000 {IEEE} International Conference on Cluster Computing {(CLUSTER} 2000), November 28th - December 1st, 2000, Technische Universit{\"{a}}t Chemnitz, Saxony, Germany}, pages = {91--98}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/CLUSTR.2000.889009}, doi = {10.1109/CLUSTR.2000.889009}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cluster/OrdunaAD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/Duato00, author = {Jos{\'{e}} Duato}, editor = {Arndt Bode and Thomas Ludwig and Wolfgang Karl and Roland Wism{\"{u}}ller}, title = {Routing and Communication in Interconnection Networks}, booktitle = {Euro-Par 2000, Parallel Processing, 6th International Euro-Par Conference, Munich, Germany, August 29 - September 1, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1900}, pages = {875--876}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-44520-X\_121}, doi = {10.1007/3-540-44520-X\_121}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/europar/Duato00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/HolenarsipurYDPS00, author = {Praveen Holenarsipur and Vladimir Yarmolenko and Jos{\'{e}} Duato and Dhabaleswar K. Panda and P. Sadayappan}, editor = {Mateo Valero and Viktor K. Prasanna and Sriram Vajapeyam}, title = {Characterization and enhancement of Static Mapping Heuristics for Heterogeneous Systems}, booktitle = {High Performance Computing - HiPC 2000, 7th International Conference, Bangalore, India, December 17-20, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1970}, pages = {37--48}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-44467-X\_4}, doi = {10.1007/3-540-44467-X\_4}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hipc/HolenarsipurYDPS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/CasadoBQSD00, author = {Rafael Casado and Aurelio Berm{\'{u}}dez and Francisco J. Quiles and Jos{\'{e}} L. S{\'{a}}nchez and Jos{\'{e}} Duato}, title = {Performance Evaluation of Dynamic Reconfiguration in High-Speed Local Area Networks}, booktitle = {Proceedings of the Sixth International Symposium on High-Performance Computer Architecture, Toulouse, France, January 8-12, 2000}, pages = {85--96}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/HPCA.2000.824341}, doi = {10.1109/HPCA.2000.824341}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/CasadoBQSD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icon/MoleroSSD00, author = {Xavier Molero and Federico Silla and Vicente Santonja and Jos{\'{e}} Duato}, title = {Performance analysis of storage area networks using high-speed {LAN} interconnects}, booktitle = {Proceedings of the {IEEE} International Conference on Networks 2000: Networking Trends and Challenges in the New Millennium, {ICON} 2000, Singapore, September 5-8, 2000}, pages = {474--478}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ICON.2000.875833}, doi = {10.1109/ICON.2000.875833}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icon/MoleroSSD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/FlichLMD00, author = {Jos{\'{e}} Flich and Pedro L{\'{o}}pez and Manuel P. Malumbres and Jos{\'{e}} Duato}, title = {Improving the Performance of Regular Networks with Source Routing}, booktitle = {Proceedings of the 2000 International Conference on Parallel Processing, {ICPP} 2000, Toronto, Canada, August 21-24, 2000}, pages = {353--361}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICPP.2000.876151}, doi = {10.1109/ICPP.2000.876151}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/FlichLMD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/OrdunaARVD00, author = {Juan M. Ordu{\~{n}}a and Vicente Arnau and Aurelio Ruiz and Rodrigo Valero and Jos{\'{e}} Duato}, title = {On the Design of Communication-Aware Task Scheduling Strategies for Heterogeneous Systems}, booktitle = {Proceedings of the 2000 International Conference on Parallel Processing, {ICPP} 2000, Toronto, Canada, August 21-24, 2000}, pages = {391--404}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICPP.2000.876155}, doi = {10.1109/ICPP.2000.876155}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/OrdunaARVD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/PangPD00, author = {Ruoming Pang and Timothy Mark Pinkston and Jos{\'{e}} Duato}, title = {The Double Scheme: Deadlock-Free Dynamic Reconfiguration of Cut-Through Networks}, booktitle = {Proceedings of the 2000 International Conference on Parallel Processing, {ICPP} 2000, Toronto, Canada, August 21-24, 2000}, pages = {439--448}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICPP.2000.876160}, doi = {10.1109/ICPP.2000.876160}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/PangPD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/LysneD00, author = {Olav Lysne and Jos{\'{e}} Duato}, title = {Fast Dynamic Reconfiguration in Irregular Networks}, booktitle = {Proceedings of the 2000 International Conference on Parallel Processing, {ICPP} 2000, Toronto, Canada, August 21-24, 2000}, pages = {449--460}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICPP.2000.876161}, doi = {10.1109/ICPP.2000.876161}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/LysneD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icppw/YarmolenkoDPS00, author = {Vladimir Yarmolenko and Jos{\'{e}} Duato and Dhabaleswar K. Panda and P. Sadayappan}, title = {Characterization and Enhancement of Dynamic Mapping Heuristics for Heterogeneous Systems}, booktitle = {Proceedings of the 2000 International Workshop on Parallel Processing, {ICPPW} 2000, Toronto, Canada, August 21-24, 2000}, pages = {437--446}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICPPW.2000.869149}, doi = {10.1109/ICPPW.2000.869149}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icppw/YarmolenkoDPS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FlichMLD00, author = {Jos{\'{e}} Flich and Manuel P. Malumbres and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {John Reynders and Alexander V. Veidenbaum}, title = {Performance evaluation of a new routing strategy for irregular networks with source routing}, booktitle = {Proceedings of the 14th international conference on Supercomputing, {ICS} 2000, Santa Fe, NM, USA, May 8-11, 2000}, pages = {34--43}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/335231.335235}, doi = {10.1145/335231.335235}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/FlichMLD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/LoveYDCQ00, author = {Damon S. Love and Sudhakar Yalamanchili and Jos{\'{e}} Duato and Mar{\'{\i}}a Blanca Caminero and Francisco J. Quiles}, title = {Switch Scheduling in the Multimedia Router {(MMR)}}, booktitle = {Proceedings of the 14th International Parallel {\&} Distributed Processing Symposium (IPDPS'00), Cancun, Mexico, May 1-5, 2000}, pages = {5--12}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/IPDPS.2000.845958}, doi = {10.1109/IPDPS.2000.845958}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/LoveYDCQ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/FlichMLD00, author = {Jos{\'{e}} Flich and Manuel P. Malumbres and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Improving Routing Performance in Myrinet Networks}, booktitle = {Proceedings of the 14th International Parallel {\&} Distributed Processing Symposium (IPDPS'00), Cancun, Mexico, May 1-5, 2000}, pages = {27--32}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/IPDPS.2000.845961}, doi = {10.1109/IPDPS.2000.845961}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/FlichMLD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/BaydalLD00, author = {Elvira Baydal and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {A Simple and Efficient Mechanism to Prevent Saturation in Wormhole Networks}, booktitle = {Proceedings of the 14th International Parallel {\&} Distributed Processing Symposium (IPDPS'00), Cancun, Mexico, May 1-5, 2000}, pages = {617--622}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/IPDPS.2000.846043}, doi = {10.1109/IPDPS.2000.846043}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/BaydalLD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ishpc/MoleroSSD00, author = {Xavier Molero and Federico Silla and Vicente Santonja and Jos{\'{e}} Duato}, editor = {Mateo Valero and Kazuki Joe and Masaru Kitsuregawa and Hidehiko Tanaka}, title = {Performance Sensitivity of Routing Algorithms to Failures in Networks of Worksations}, booktitle = {High Performance Computing, Third International Symposium, {ISHPC} 2000, Tokyo, Japan, October 16-18, 2000. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1940}, pages = {230--242}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-39999-2\_20}, doi = {10.1007/3-540-39999-2\_20}, timestamp = {Tue, 14 May 2019 10:00:49 +0200}, biburl = {https://dblp.org/rec/conf/ishpc/MoleroSSD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ishpc/SanchoRD00, author = {Jos{\'{e}} Carlos Sancho and Antonio Robles and Jos{\'{e}} Duato}, editor = {Mateo Valero and Kazuki Joe and Masaru Kitsuregawa and Hidehiko Tanaka}, title = {A Flexible Routing Scheme for Networks of Workstations}, booktitle = {High Performance Computing, Third International Symposium, {ISHPC} 2000, Tokyo, Japan, October 16-18, 2000. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1940}, pages = {260--267}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-39999-2\_23}, doi = {10.1007/3-540-39999-2\_23}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ishpc/SanchoRD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ishpc/MartinezSLD00, author = {Juan Carlos Mart{\'{\i}}nez and Federico Silla and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {Mateo Valero and Kazuki Joe and Masaru Kitsuregawa and Hidehiko Tanaka}, title = {On the Influence of the Selection Function on the Performance of Networks of Workstations}, booktitle = {High Performance Computing, Third International Symposium, {ISHPC} 2000, Tokyo, Japan, October 16-18, 2000. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1940}, pages = {292--299}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-39999-2\_27}, doi = {10.1007/3-540-39999-2\_27}, timestamp = {Wed, 19 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ishpc/MartinezSLD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ishpc/FlichLMDR00, author = {Jos{\'{e}} Flich and Pedro L{\'{o}}pez and Manuel P. Malumbres and Jos{\'{e}} Duato and Tomas Rokicki}, editor = {Mateo Valero and Kazuki Joe and Masaru Kitsuregawa and Hidehiko Tanaka}, title = {Combining In-Transit Buffers with Optimized Routing Schemes to Boost the Performance of Networks with Source Routing}, booktitle = {High Performance Computing, Third International Symposium, {ISHPC} 2000, Tokyo, Japan, October 16-18, 2000. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1940}, pages = {300--309}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-39999-2\_28}, doi = {10.1007/3-540-39999-2\_28}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ishpc/FlichLMDR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispan/AlcoverCD00, author = {Rosa Alcover and Vicente Chirivella and Jos{\'{e}} Duato}, title = {An Accurate Analysis of Reliability Parameters in Meshes with Fault-Tolerant Adaptive Routing}, booktitle = {5th International Symposium on Parallel Architectures, Algorithms, and Networks {(I-SPAN} 2000), 7-10 December 2000, Dallas / Richardson, TX, {USA}}, pages = {88--93}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPAN.2000.900267}, doi = {10.1109/ISPAN.2000.900267}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispan/AlcoverCD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispan/MoleroSSD00, author = {Xavier Molero and Federico Silla and Vicente Santonja and Jos{\'{e}} Duato}, title = {On the Effect of Link Failures in Fibre Channel Storage Area Networks}, booktitle = {5th International Symposium on Parallel Architectures, Algorithms, and Networks {(I-SPAN} 2000), 7-10 December 2000, Dallas / Richardson, TX, {USA}}, pages = {102--113}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISPAN.2000.900269}, doi = {10.1109/ISPAN.2000.900269}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispan/MoleroSSD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mascots/MoleroSSD00, author = {Xavier Molero and Federico Silla and Vicente Santonja and Jos{\'{e}} Duato}, title = {Modeling and Simulation of Storage Area Networks}, booktitle = {{MASCOTS} 2000, Proceedings of the 8th International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, 29 August - 1 September 2000, San Francisco, California, {USA}}, pages = {307--314}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/MASCOT.2000.876553}, doi = {10.1109/MASCOT.2000.876553}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mascots/MoleroSSD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/DaoDY99, author = {Binh Vien Dao and Jos{\'{e}} Duato and Sudhakar Yalamanchili}, title = {Dynamically Configurable Message Flow Control for Fault-Tolerant Routing}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {10}, number = {1}, pages = {7--22}, year = {1999}, url = {https://doi.org/10.1109/71.744829}, doi = {10.1109/71.744829}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/DaoDY99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/canpc/SillaD99, author = {Federico Silla and Jos{\'{e}} Duato}, editor = {Anand Sivasubramaniam and Mario Lauria}, title = {Is It Worth the Flexibility Provided by Irregular Topologies in Networks of Workstations?}, booktitle = {Network-Based Parallel Computing: Communication, Architecture, and Applications, Third International Workshop, {CANPC} '99, Orlando, Forida, USA, January 9, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1602}, pages = {47--61}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/10704826\_4}, doi = {10.1007/10704826\_4}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/canpc/SillaD99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/canpc/CamineroQDLY99, author = {Mar{\'{\i}}a Blanca Caminero and Francisco J. Quiles and Jos{\'{e}} Duato and Damon S. Love and Sudhakar Yalamanchili}, editor = {Anand Sivasubramaniam and Mario Lauria}, title = {Performance Evaluation of the Multimedia Router with {MPEG-2} Video Traffic}, booktitle = {Network-Based Parallel Computing: Communication, Architecture, and Applications, Third International Workshop, {CANPC} '99, Orlando, Forida, USA, January 9, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1602}, pages = {62--76}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/10704826\_5}, doi = {10.1007/10704826\_5}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/canpc/CamineroQDLY99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/canpc/CasadoQSD99, author = {Rafael Casado and Francisco J. Quiles and Jos{\'{e}} L. S{\'{a}}nchez and Jos{\'{e}} Duato}, editor = {Anand Sivasubramaniam and Mario Lauria}, title = {Deadlock-Free Routing in Irregular Networks with Dynamic Reconfiguration}, booktitle = {Network-Based Parallel Computing: Communication, Architecture, and Applications, Third International Workshop, {CANPC} '99, Orlando, Forida, USA, January 9, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1602}, pages = {165--180}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/10704826\_12}, doi = {10.1007/10704826\_12}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/canpc/CasadoQSD99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/DuatoYCLQ99, author = {Jos{\'{e}} Duato and Sudhakar Yalamanchili and Mar{\'{\i}}a Blanca Caminero and Damon S. Love and Francisco J. Quiles}, title = {{MMR:} {A} High-Performance Multimedia Router - Architecture and Design Trade-Offs}, booktitle = {Proceedings of the Fifth International Symposium on High-Performance Computer Architecture, Orlando, FL, USA, January 9-12, 1999}, pages = {300--309}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/HPCA.1999.744383}, doi = {10.1109/HPCA.1999.744383}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/DuatoYCLQ99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/MartinezLD99, author = {Juan{-}Miguel Mart{\'{\i}}nez and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Impact of Buffer Size on the Efficiency of Deadlock Detection}, booktitle = {Proceedings of the Fifth International Symposium on High-Performance Computer Architecture, Orlando, FL, USA, January 9-12, 1999}, pages = {315--318}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/HPCA.1999.744385}, doi = {10.1109/HPCA.1999.744385}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/MartinezLD99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/PuenteBGPDI99, author = {Valentin Puente and Ram{\'{o}}n Beivide and Jos{\'{e}} A. Gregorio and J. M. Prellezo and Jos{\'{e}} Duato and Cruz Izu}, title = {Adaptive Bubble Router: {A} Design to Improve Performance in Torus Networks}, booktitle = {Proceedings of the International Conference on Parallel Processing 1999, {ICPP} 1999, Wakamatsu, Japan, September 21-24, 1999}, pages = {58--67}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICPP.1999.797388}, doi = {10.1109/ICPP.1999.797388}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/PuenteBGPDI99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/FlichMLD99, author = {Jos{\'{e}} Flich and Manuel P. Malumbres and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Performance Evaluation of Networks of Workstations with Hardware Shared Memory Model Using Execution-Driven Simulation}, booktitle = {Proceedings of the International Conference on Parallel Processing 1999, {ICPP} 1999, Wakamatsu, Japan, September 21-24, 1999}, pages = {146--153}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICPP.1999.797399}, doi = {10.1109/ICPP.1999.797399}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/FlichMLD99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/MartinezTD99, author = {Jos{\'{e}} F. Mart{\'{\i}}nez and Josep Torrellas and Jos{\'{e}} Duato}, editor = {Theodore S. Papatheodorou and Mateo Valero and Constantine D. Polychronopoulos and Yoichi Muraoka and Jes{\'{u}}s Labarta}, title = {Improving the performance of bristled {CC-NUMA} systems using virtual channels and adaptivity}, booktitle = {Proceedings of the 13th international conference on Supercomputing, {ICS} 1999, Rhodes, Greece, June 20-25, 1999}, pages = {202--209}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/305138.305194}, doi = {10.1145/305138.305194}, timestamp = {Tue, 06 Nov 2018 11:07:02 +0100}, biburl = {https://dblp.org/rec/conf/ics/MartinezTD99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/DuatoRSB99, author = {Jos{\'{e}} Duato and Antonio Robles and Federico Silla and Ram{\'{o}}n Beivide}, title = {A Comparison of Router Architectures for Virtual Cut-Through and Wormhole Switching in a NOWEnvironment}, booktitle = {13th International Parallel Processing Symposium / 10th Symposium on Parallel and Distributed Processing {(IPPS} / {SPDP} '99), 12-16 April 1999, San Juan, Puerto Rico, Proceedings}, pages = {240--247}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/IPPS.1999.760469}, doi = {10.1109/IPPS.1999.760469}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/DuatoRSB99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/LopezADZ99, author = {Pedro L{\'{o}}pez and Rosa Alcover and Jos{\'{e}} Duato and Luisa Z{\'{u}}nica}, title = {Optimizing network throughput: optimal versus robust design}, booktitle = {Proceedings of the Seventh Euromicro Workshop on Parallel and Distributed Processing. PDP'99, University of Madeira, Funchal, Portugal, February 3-5, 1999}, pages = {45--52}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/EMPDP.1999.746644}, doi = {10.1109/EMPDP.1999.746644}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/LopezADZ99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijfcs/GarciaD98, author = {Rom{\'{a}}n Garc{\'{\i}}a and Jos{\'{e}} Duato}, title = {Suboptimal-Optimal Routing for {LAN} Internetworking Using Transparent Bridges}, journal = {Int. J. Found. Comput. Sci.}, volume = {9}, number = {2}, pages = {139--156}, year = {1998}, url = {https://doi.org/10.1142/S0129054198000118}, doi = {10.1142/S0129054198000118}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijfcs/GarciaD98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/LopezADZ98, author = {Pedro L{\'{o}}pez and Rosa Alcover and Jos{\'{e}} Duato and Luisa Z{\'{u}}nica}, title = {A cost-effective methodology for the evaluation of interconnection networks}, journal = {J. Syst. Archit.}, volume = {44}, number = {9-10}, pages = {815--830}, year = {1998}, url = {https://doi.org/10.1016/S1383-7621(97)00019-2}, doi = {10.1016/S1383-7621(97)00019-2}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/LopezADZ98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/canpc/CasadoCCQGD98, author = {Rafael Casado and Mar{\'{\i}}a Blanca Caminero and Pedro Cuenca and Francisco J. Quiles and Antonio Jose Garrido del Solo and Jos{\'{e}} Duato}, editor = {Dhabaleswar K. Panda and Craig B. Stunkel}, title = {A Tool for the Analysis of Reconfiguration and Routing Algorithms in Irregular Networks}, booktitle = {Network-Based Parallel Computing: Communication, Architecture, and Applications, Second International Workshop, {CANPC} '98, Las Vegas, Nevada, USA, January 31 - February 1, 1998, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1362}, pages = {159--173}, publisher = {Springer}, year = {1998}, url = {https://doi.org/10.1007/BFb0052215}, doi = {10.1007/BFB0052215}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/canpc/CasadoCCQGD98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cpe/FlichLMD98, author = {Jos{\'{e}} Flich and Pedro L{\'{o}}pez and Manuel P. Malumbres and Jos{\'{e}} Duato}, editor = {Ram{\'{o}}n Puigjaner and Nunzio N. Savino and Bartomeu Serra}, title = {Edinet: An Execution Driven Interconnection Network Simulator for {DSM} Systems}, booktitle = {Computer Performance Evaluation: Modelling Techniques and Tools, 10th International Conference, Tools '98, Palma de Mallorca, Spain, September 14-18, 1998, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1469}, pages = {336--339}, publisher = {Springer}, year = {1998}, url = {https://doi.org/10.1007/3-540-68061-6\_27}, doi = {10.1007/3-540-68061-6\_27}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cpe/FlichLMD98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/SillaDSD98, author = {Federico Silla and Jos{\'{e}} Duato and Anand Sivasubramaniam and Chita R. Das}, title = {Virtual channel multiplexing in networks of workstations with irregular topology}, booktitle = {5th International Conference On High Performance Computing, HiPC 1998, Madras, India, 20-20 December, 1998}, pages = {147--154}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/HIPC.1998.737983}, doi = {10.1109/HIPC.1998.737983}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipc/SillaDSD98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/LopezMD98, author = {Pedro L{\'{o}}pez and Juan{-}Miguel Mart{\'{\i}}nez and Jos{\'{e}} Duato}, title = {A Very Efficient Distributed Deadlock Detection Mechanism for Wormhole Networks}, booktitle = {Proceedings of the Fourth International Symposium on High-Performance Computer Architecture, Las Vegas, Nevada, USA, January 31 - February 4, 1998}, pages = {57--66}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/HPCA.1998.650546}, doi = {10.1109/HPCA.1998.650546}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/LopezMD98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/SillaRD98, author = {Federico Silla and Antonio Robles and Jos{\'{e}} Duato}, title = {Improving Performance of Networks of Workstations by using Disha Concurrent}, booktitle = {1998 International Conference on Parallel Processing {(ICPP} '98), 10-14 August 1998, Minneapolis, Minnesota, USA, Proceedings}, pages = {80--87}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ICPP.1998.708466}, doi = {10.1109/ICPP.1998.708466}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/SillaRD98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/SillaMDDP98, author = {Federico Silla and Manuel P. Malumbres and Jos{\'{e}} Duato and Donglai Dai and Dhabaleswar K. Panda}, title = {Impact of Adaptivity on the Behaviour of Networks of Workstations under Bursty Traffic}, booktitle = {1998 International Conference on Parallel Processing {(ICPP} '98), 10-14 August 1998, Minneapolis, Minnesota, USA, Proceedings}, pages = {88--95}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ICPP.1998.708467}, doi = {10.1109/ICPP.1998.708467}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/SillaMDDP98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/GarciaDS98, author = {Rom{\'{a}}n Garc{\'{\i}}a and Jos{\'{e}} Duato and Jos{\'{e}} Serrano}, title = {A New Transparent Bridge Protocol for {LAN} Internetworking using Topologies with Active Loops}, booktitle = {1998 International Conference on Parallel Processing {(ICPP} '98), 10-14 August 1998, Minneapolis, Minnesota, USA, Proceedings}, pages = {295--303}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ICPP.1998.708499}, doi = {10.1109/ICPP.1998.708499}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/GarciaDS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/DietzDSSSW98, author = {Hank G. Dietz and Jos{\'{e}} Duato and Steven L. Scott and Thomas L. Sterling and Craig B. Stunkel and Stephen R. Wheat}, title = {Convergence Points on Commercial Parallel Systems: Do We Have the Node Architecture? Do We Have the Network? Do We Have the Programming Paradigm?}, booktitle = {1998 International Conference on Parallel Processing {(ICPP} '98), 10-14 August 1998, Minneapolis, Minnesota, USA, Proceedings}, pages = {392--395}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.ieeecomputersociety.org/10.1109/ICPP.1998.10000}, doi = {10.1109/ICPP.1998.10000}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/DietzDSSSW98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/LopezMD98, author = {Pedro L{\'{o}}pez and Juan{-}Miguel Mart{\'{\i}}nez and Jos{\'{e}} Duato}, title = {{DRIL:} Dynamically Reduced Message Injection Limitation Mechanism for Wormhole Networks}, booktitle = {1998 International Conference on Parallel Processing {(ICPP} '98), 10-14 August 1998, Minneapolis, Minnesota, USA, Proceedings}, pages = {535--542}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ICPP.1998.708527}, doi = {10.1109/ICPP.1998.708527}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/LopezMD98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icppw/OrdunaD98, author = {Juan M. Ordu{\~{n}}a and Jos{\'{e}} Duato}, editor = {Chita R. Das}, title = {On the Design of Network Routers for Multimedia Applications}, booktitle = {1998 International Conference on Parallel Processing Workshops, ICPPW'98, Minneapolis, Minnesota, USA, August 14, 1998}, pages = {13--20}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ICPPW.1998.721869}, doi = {10.1109/ICPPW.1998.721869}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icppw/OrdunaD98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/SanchezDG98, author = {Jos{\'{e}} L. S{\'{a}}nchez and Jos{\'{e}} Duato and Jos{\'{e}} M. Garc{\'{\i}}a}, title = {Using channel pipelining in reconfigurable interconnection networks}, booktitle = {Proceedings of the Sixth Euromicro Workshop on Parallel and Distributed Processing - {PDP} '98, University of Madrid, Madrid, Spain, January 21-23, 1998-}, pages = {120--126}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/EMPDP.1998.647188}, doi = {10.1109/EMPDP.1998.647188}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/SanchezDG98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/Duato98, author = {Jos{\'{e}} Duato}, title = {Deadlock avoidance and adaptive routing in interconnection networks}, booktitle = {Proceedings of the Sixth Euromicro Workshop on Parallel and Distributed Processing - {PDP} '98, University of Madrid, Madrid, Spain, January 21-23, 1998-}, pages = {359--364}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/EMPDP.1998.647220}, doi = {10.1109/EMPDP.1998.647220}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/Duato98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcae/LopezD98, author = {Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {A lab course on computer architecture}, booktitle = {Proceedings of the 1998 workshop on Computer architecture education, WCAE@ISCA 1998, Barcelona, Spain, June 1998}, pages = {11}, publisher = {{ACM}}, year = {1998}, url = {https://doi.org/10.1145/1275182.1275193}, doi = {10.1145/1275182.1275193}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcae/LopezD98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/pcrcw/1997, editor = {Sudhakar Yalamanchili and Jos{\'{e}} Duato}, title = {Parallel Computer Routing and Communication, Second International Workshop, PCRCW'97, Atlanta, Georgia, USA, June 26-27, 1997, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1417}, publisher = {Springer}, year = {1998}, url = {https://doi.org/10.1007/3-540-69352-1}, doi = {10.1007/3-540-69352-1}, isbn = {3-540-64571-3}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pcrcw/1997.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/daglib/0087651, author = {Jos{\'{e}} Duato and Sudhakar Yalamanchili and Lionel M. Ni}, title = {Interconnection networks - an engineering approach}, publisher = {{IEEE}}, year = {1997}, isbn = {978-0-8186-7800-4}, timestamp = {Tue, 26 Apr 2011 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/daglib/0087651.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/Duato97, author = {Jos{\'{e}} Duato}, title = {A Theory of Fault-Tolerant Routing in Wormhole Networks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {8}, number = {8}, pages = {790--802}, year = {1997}, url = {https://doi.org/10.1109/71.605766}, doi = {10.1109/71.605766}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/Duato97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/canpc/SillaMRLD97, author = {Federico Silla and Manuel P. Malumbres and Antonio Robles and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {Dhabaleswar K. Panda and Craig B. Stunkel}, title = {Efficient Adaptive Routing in Networks of Workstations with Irregular Topology}, booktitle = {Communication and Architectural Support for Network-Based Parallel Computing, First International Workshop, {CANPC} '97, San Antonio, Texas, USA, February 1-2, 1997, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1199}, pages = {46--60}, publisher = {Springer}, year = {1997}, url = {https://doi.org/10.1007/3-540-62573-9\_4}, doi = {10.1007/3-540-62573-9\_4}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/canpc/SillaMRLD97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/MontesCFSGM97, author = {Teresa Olivares Montes and Pedro Angel Cuenca{-}Castillo and Francisco Jos{\'{e}} Quiles Flor and Antonio Jose Garrido del Solo and Jos{\'{e}} Luis S{\'{a}}nchez Garcia and Jos{\'{e}} Duato Mar{\'{\i}}n}, title = {Interconnection network behavior on a multicomputer in the parallelization of the {MPEG} coding algorithm. Worm-hole vs. packet-switching routing}, booktitle = {Proceedings of the Fourth International on High-Performance Computing, HiPC 1997, Bangalore, India, 18-21 December, 1997}, pages = {48--53}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/HIPC.1997.634469}, doi = {10.1109/HIPC.1997.634469}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipc/MontesCFSGM97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/PetriniDLM97, author = {Fabrizio Petrini and Jos{\'{e}} Duato and Pedro L{\'{o}}pez and Juan{-}Miguel Mart{\'{\i}}nez}, title = {{LIFE:} a limited injection, fully adaptive, recovery-based routing algorithm}, booktitle = {Proceedings of the Fourth International on High-Performance Computing, HiPC 1997, Bangalore, India, 18-21 December, 1997}, pages = {316--321}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/HIPC.1997.634509}, doi = {10.1109/HIPC.1997.634509}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipc/PetriniDLM97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/SillaD97, author = {Federico Silla and Jos{\'{e}} Duato}, title = {Improving the efficiency of adaptive routing in networks with irregular topology}, booktitle = {Proceedings of the Fourth International on High-Performance Computing, HiPC 1997, Bangalore, India, 18-21 December, 1997}, pages = {330--335}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/HIPC.1997.634511}, doi = {10.1109/HIPC.1997.634511}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipc/SillaD97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/DaoYD97, author = {Binh Vien Dao and Sudhakar Yalamanchili and Jos{\'{e}} Duato}, title = {Architectural Support for Reducing Communication Overhead in Multiprocessor Interconnection Networks}, booktitle = {Proceedings of the 3rd {IEEE} Symposium on High-Performance Computer Architecture {(HPCA} '97), San Antonio, Texas, USA, February 1-5, 1997}, pages = {343--352}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/HPCA.1997.569699}, doi = {10.1109/HPCA.1997.569699}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/DaoYD97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/MartinezLDP97, author = {Juan{-}Miguel Mart{\'{\i}}nez and Pedro L{\'{o}}pez and Jos{\'{e}} Duato and Timothy Mark Pinkston}, title = {Software-Based Deadlock Recovery Technique for True Fully Adaptive Routing in Wormhole Networks}, booktitle = {1997 International Conference on Parallel Processing {(ICPP} '97), August 11-15, 1997, Bloomington, IL, USA, Proceedings}, pages = {182--189}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/ICPP.1997.622586}, doi = {10.1109/ICPP.1997.622586}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/MartinezLDP97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/DuatoLY97, author = {Jos{\'{e}} Duato and Pedro L{\'{o}}pez and Sudhakar Yalamanchili}, title = {Deadlock- and Livelock-Free Routing Protocols for Wave Switching}, booktitle = {11th International Parallel Processing Symposium {(IPPS} '97), 1-5 April 1997, Geneva, Switzerland, Proceedings}, pages = {570--577}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/IPPS.1997.580958}, doi = {10.1109/IPPS.1997.580958}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/DuatoLY97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pcrcw/SillaD97, author = {Federico Silla and Jos{\'{e}} Duato}, editor = {Sudhakar Yalamanchili and Jos{\'{e}} Duato}, title = {On the Use of Virtual Channels in Networks of Workstations with Irregular Topology}, booktitle = {Parallel Computer Routing and Communication, Second International Workshop, PCRCW'97, Atlanta, Georgia, USA, June 26-27, 1997, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1417}, pages = {203--216}, publisher = {Springer}, year = {1997}, url = {https://doi.org/10.1007/3-540-69352-1\_18}, doi = {10.1007/3-540-69352-1\_18}, timestamp = {Sun, 02 Oct 2022 16:13:27 +0200}, biburl = {https://dblp.org/rec/conf/pcrcw/SillaD97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pcrcw/LopezMDP97, author = {Pedro L{\'{o}}pez and Juan{-}Miguel Mart{\'{\i}}nez and Jos{\'{e}} Duato and Fabrizio Petrini}, editor = {Sudhakar Yalamanchili and Jos{\'{e}} Duato}, title = {On the Reduction of Deadlock Frequency by Limiting Message Injection in Wormhole Networks}, booktitle = {Parallel Computer Routing and Communication, Second International Workshop, PCRCW'97, Atlanta, Georgia, USA, June 26-27, 1997, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1417}, pages = {295--307}, publisher = {Springer}, year = {1997}, url = {https://doi.org/10.1007/3-540-69352-1\_24}, doi = {10.1007/3-540-69352-1\_24}, timestamp = {Sun, 20 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pcrcw/LopezMDP97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/RoblesD97, author = {Antonio Robles and Jos{\'{e}} Duato}, title = {Multilink extension to support deadlock-free adaptive non-minimal routing}, booktitle = {Fifth Euromicro Workshop on Parallel and Distributed Processing {(PDP} '97), January 22-24, 1997, University of Westminster, London, {UK}}, pages = {431--436}, publisher = {{IEEE} Computer Society}, year = {1997}, timestamp = {Fri, 05 Oct 2007 12:53:47 +0200}, biburl = {https://dblp.org/rec/conf/pdp/RoblesD97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/KirnDC97, author = {Ji{-}Yun Kirn and Jos{\'{e}} Duato and Jung Wan Cho}, editor = {Hamid R. Arabnia}, title = {Channel Bypassing: {A} Deadlock-Free Flow Control Policy for Adaptive Routing in Wormhole Networks}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} 1997, June 30 - July 3, 1997, Las Vegas, Nevada, {USA}}, pages = {1219--1228}, publisher = {{CSREA} Press}, year = {1997}, timestamp = {Tue, 20 Apr 2004 14:47:02 +0200}, biburl = {https://dblp.org/rec/conf/pdpta/KirnDC97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/Duato96, author = {Jos{\'{e}} Duato}, title = {A Necessary and Sufficient Condition for Deadlock-Free Routing in Cut-Through and Store-and-Forward Networks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {7}, number = {8}, pages = {841--854}, year = {1996}, url = {https://doi.org/10.1109/71.532115}, doi = {10.1109/71.532115}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/Duato96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/DuatoM96, author = {Jos{\'{e}} Duato and Manuel P. Malumbres}, editor = {Luc Boug{\'{e}} and Pierre Fraigniaud and Anne Mignotte and Yves Robert}, title = {Optimal Topology for Distributed Shared-Memory Multiprocessors: Hypercubes Again?}, booktitle = {Euro-Par '96 Parallel Processing, Second International Euro-Par Conference, Lyon, France, August 26-29, 1996, Proceedings, Volume {I}}, series = {Lecture Notes in Computer Science}, volume = {1123}, pages = {205--212}, publisher = {Springer}, year = {1996}, url = {https://doi.org/10.1007/3-540-61626-8\_26}, doi = {10.1007/3-540-61626-8\_26}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/europar/DuatoM96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/DuatoLSY96, author = {Jos{\'{e}} Duato and Pedro L{\'{o}}pez and Federico Silla and Sudhakar Yalamanchili}, editor = {Anthony P. Reeves}, title = {A High Performance Router Architecture for Interconnection Networks}, booktitle = {Proceedings of the 1996 International Conference on Parallel Processing, {ICCP} 1996, Bloomingdale, IL, USA, August 12-16, 1996. Volume 1: Architecture}, pages = {61--68}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ICPP.1996.537144}, doi = {10.1109/ICPP.1996.537144}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/DuatoLSY96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/VenkatramaniPD96, author = {Anjan K. Venkatramani and Timothy Mark Pinkston and Jos{\'{e}} Duato}, title = {Generalized Theory for Deadlock-Free Adaptive Wormhole Routing and its Application to Disha Concurrent}, booktitle = {Proceedings of {IPPS} '96, The 10th International Parallel Processing Symposium, April 15-19, 1996, Honolulu, Hawaii, {USA}}, pages = {815--821}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/IPPS.1996.508153}, doi = {10.1109/IPPS.1996.508153}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/VenkatramaniPD96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/AlcoverLDZ96, author = {Rosa Alcover and Pedro L{\'{o}}pez and Jos{\'{e}} Duato and Luisa Z{\'{u}}nica}, title = {Interconnection Network Design: {A} Statistical Analysis of Interactions between Factors}, booktitle = {4th Euromicro Workshop on Parallel and Distributed Processing {(PDP} '96), January 24-26, 1996, Portugal}, pages = {211--218}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/EMPDP.1996.500589}, doi = {10.1109/EMPDP.1996.500589}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/AlcoverLDZ96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spdp/MalumbresDT96, author = {Manuel P. Malumbres and Jos{\'{e}} Duato and Josep Torrellas}, title = {An efficient implementation of tree-based multicast routing for distributed shared-memory multiprocessors}, booktitle = {Proceedings of the Eighth {IEEE} Symposium on Parallel and Distributed Processing, {SPDP} 1996, New Orleans, Louisiana, USA, October 23-26, 1996}, pages = {186--189}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/SPDP.1996.570332}, doi = {10.1109/SPDP.1996.570332}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/spdp/MalumbresDT96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cai/LopezD95, author = {Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Deadlock-Free Fully-Adaptive Minimal Routing Algorithms: Limitations and Solutions}, journal = {Comput. Artif. Intell.}, volume = {14}, number = {2}, pages = {105--125}, year = {1995}, url = {http://www.cai.sk/ojs/index.php/cai/article/view/223}, timestamp = {Mon, 14 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cai/LopezD95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/Duato95, author = {Jos{\'{e}} Duato}, title = {A Theory of Deadlock-Free Adaptive Multicast Routing in Wormhole Networks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {6}, number = {9}, pages = {976--987}, year = {1995}, url = {https://doi.org/10.1109/71.466634}, doi = {10.1109/71.466634}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/Duato95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/Duato95a, author = {Jos{\'{e}} Duato}, title = {A Necessary and Sufficient Condition for Deadlock-Free Adaptive Routing in Wormhole Networks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {6}, number = {10}, pages = {1055--1067}, year = {1995}, url = {https://doi.org/10.1109/71.473515}, doi = {10.1109/71.473515}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/Duato95a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/SuhDDY95, author = {Young{-}Joo Suh and Binh Vien Dao and Jos{\'{e}} Duato and Sudhakar Yalamanchili}, editor = {Prithviraj Banerjee}, title = {Software Based Fault-Tolerant Oblivious Routing in Pipelined Networks}, booktitle = {Proceedings of the 1995 International Conference on Parallel Processing, Urbana-Champain, Illinois, USA, August 14-18, 1995. Volume {I:} Architecture}, pages = {101--105}, publisher = {{CRC} Press}, year = {1995}, timestamp = {Fri, 25 Jul 2014 14:09:07 +0200}, biburl = {https://dblp.org/rec/conf/icpp/SuhDDY95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/DaoDY95, author = {Binh Vien Dao and Jos{\'{e}} Duato and Sudhakar Yalamanchili}, editor = {David A. Patterson}, title = {Configurable Flow Control Mechanisms for Fault-Tolerant Routing}, booktitle = {Proceedings of the 22nd Annual International Symposium on Computer Architecture, {ISCA} '95, Santa Margherita Ligure, Italy, June 22-24, 1995}, pages = {220--229}, publisher = {{ACM}}, year = {1995}, url = {https://doi.org/10.1145/223982.224433}, doi = {10.1145/223982.224433}, timestamp = {Thu, 13 Apr 2023 19:55:42 +0200}, biburl = {https://dblp.org/rec/conf/isca/DaoDY95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/Duato94, author = {Jos{\'{e}} Duato}, title = {Improving the efficiency of virtual channels with time-dependent selection functions}, journal = {Future Gener. Comput. Syst.}, volume = {10}, number = {1}, pages = {45--58}, year = {1994}, url = {https://doi.org/10.1016/0167-739X(94)90050-7}, doi = {10.1016/0167-739X(94)90050-7}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/Duato94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppl/Duato94, author = {Jos{\'{e}} Duato}, title = {A Theory to Increase the Effective Redundancy in Wormhole Networks}, journal = {Parallel Process. Lett.}, volume = {4}, pages = {125--138}, year = {1994}, url = {https://doi.org/10.1142/S0129626494000144}, doi = {10.1142/S0129626494000144}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ppl/Duato94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dimacs/DuatoL94, author = {Jos{\'{e}} Duato and Pedro L{\'{o}}pez}, editor = {D. Frank Hsu and Arnold L. Rosenberg and Dominique Sotteau}, title = {Highly adaptive wormhole routing algorithms for N-dimensional torus}, booktitle = {Workshop on Interconnection Networks and Mapping and Scheduling Parallel Computations, Proceedings of a {DIMACS} Workshop, Piscataway, New Jersey, USA, February 7-9, 1994}, series = {{DIMACS} Series in Discrete Mathematics and Theoretical Computer Science}, volume = {21}, pages = {87--104}, publisher = {{DIMACS/AMS}}, year = {1994}, url = {https://doi.org/10.1090/dimacs/021/08}, doi = {10.1090/DIMACS/021/08}, timestamp = {Mon, 22 May 2023 16:07:35 +0200}, biburl = {https://dblp.org/rec/conf/dimacs/DuatoL94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/LiuD94, author = {Ziqiang Liu and Jos{\'{e}} Duato}, title = {Adaptive Unicast and Multicast in 3D Mesh Networks}, booktitle = {27th Annual Hawaii International Conference on System Sciences (HICSS-27), January 4-7, 1994, Maui, Hawaii, {USA}}, pages = {173--183}, publisher = {{IEEE} Computer Society}, year = {1994}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hicss/LiuD94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/DuatoHLNS94, author = {Jos{\'{e}} Duato and C. T. Howard Ho and Ferng{-}Ching Lin and Lionel M. Ni and Earl E. Swartzlander Jr.}, editor = {Lionel M. Ni}, title = {Is It Possible to Fairly Compare Interconnection Networks?}, booktitle = {Proceedings 1994 International Conference on Parallel and Distributed Systems, December 19-21, 1994, Hsinchu, Taiwan, Republic of China}, pages = {16--19}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/ICPADS.1994.589882}, doi = {10.1109/ICPADS.1994.589882}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/DuatoHLNS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/Duato94, author = {Jos{\'{e}} Duato}, editor = {Lionel M. Ni}, title = {A Thory of Fault-Tolerant routing in Wormhole Networks}, booktitle = {Proceedings 1994 International Conference on Parallel and Distributed Systems, December 19-21, 1994, Hsinchu, Taiwan, Republic of China}, pages = {600--607}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/ICPADS.1994.590404}, doi = {10.1109/ICPADS.1994.590404}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/Duato94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/DuatoDGY94, author = {Jos{\'{e}} Duato and V. B. Dao and Patrick T. Gaughan and Sudhakar Yalamanchili}, editor = {Lionel M. Ni}, title = {Scouting: Fully Adaptive, Deadlock-Free Routing in Faulty Pipelined Networks}, booktitle = {Proceedings 1994 International Conference on Parallel and Distributed Systems, December 19-21, 1994, Hsinchu, Taiwan, Republic of China}, pages = {608--613}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/ICPADS.1994.590406}, doi = {10.1109/ICPADS.1994.590406}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/DuatoDGY94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/Duato94, author = {Jos{\'{e}} Duato}, editor = {Dharma P. Agrawal}, title = {A Necessary and Sufficient Condition for Deadlock-Free Adaptive Routing in Wormhole Networks}, booktitle = {Proceedings of the 1994 International Conference on Parallel Processing, North Carolina State University, NC, USA, August 15-19, 1994. Volume {I:} Architecture}, pages = {142--149}, publisher = {{CRC} Press}, year = {1994}, url = {https://doi.org/10.1109/ICPP.1994.36}, doi = {10.1109/ICPP.1994.36}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/Duato94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pcrcw/DuatoL94, author = {Jos{\'{e}} Duato and Pedro L{\'{o}}pez}, editor = {Kevin Bolding and Lawrence Snyder}, title = {Performance Evaluation of Adaptive Routing Algorithms for k-ary-n-cubes}, booktitle = {Parallel Computer Routing and Communication, First International Workshop, PCRCW'94, Seattle, Washington, USA, May 16-18, 1994, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {853}, pages = {45--59}, publisher = {Springer}, year = {1994}, url = {https://doi.org/10.1007/3-540-58429-3\_27}, doi = {10.1007/3-540-58429-3\_27}, timestamp = {Mon, 29 Jul 2024 11:07:21 +0200}, biburl = {https://dblp.org/rec/conf/pcrcw/DuatoL94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/MarinL94, author = {Jos{\'{e}} Duato Mar{\'{\i}}n and Pedro L{\'{o}}pez}, title = {Bandwidth Requirements For Wormhole Switches: {A} Simple And Efficient Design}, booktitle = {Proceedings of the Second Euromicro Workshop on Parallel and Distributed Processing, {PDP} 1994, January 26-28, 1994, Malaga, Spain}, pages = {377--384}, publisher = {{IEEE}}, year = {1994}, url = {https://doi.org/10.1109/EMPDP.1994.592516}, doi = {10.1109/EMPDP.1994.592516}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pdp/MarinL94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppl/Duato93, author = {Jos{\'{e}} Duato}, title = {On the Design of Deadlock-Free Adaptive Multicast Routing Algorithms}, journal = {Parallel Process. Lett.}, volume = {3}, pages = {321--333}, year = {1993}, url = {https://doi.org/10.1142/S0129626493000368}, doi = {10.1142/S0129626493000368}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ppl/Duato93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/Duato93, author = {Jos{\'{e}} Duato}, title = {A New Theory of Deadlock-Free Adaptive Routing in Wormhole Networks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {4}, number = {12}, pages = {1320--1331}, year = {1993}, url = {https://doi.org/10.1109/71.250114}, doi = {10.1109/71.250114}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/Duato93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip10-3/Duato93, author = {Jos{\'{e}} Duato}, editor = {Michel Cosnard and Ram{\'{o}}n Puigjaner}, title = {A Theory to Increase the Effective Redundancy in Wormhole Networks}, booktitle = {Decentralized and Distributed Systems, Proceedings of the {IFIP} {WG10.3} International Conference on Decentralized and Distributed Systems, Palma de Mallorca, Spain, 13-17 September 1993}, series = {{IFIP} Transactions}, volume = {{A-39}}, pages = {277--288}, publisher = {North-Holland}, year = {1993}, timestamp = {Tue, 11 Jun 2002 15:12:11 +0200}, biburl = {https://dblp.org/rec/conf/ifip10-3/Duato93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parle/LiuDT93, author = {Ziqiang Liu and Jos{\'{e}} Duato and Lars{-}Erik Thorelli}, editor = {Arndt Bode and Mike Reeve and Gottfried Wolf}, title = {Grouping Virtual Channels for Deadlock-Free Adaptive Wormhole Routing}, booktitle = {{PARLE} '93, Parallel Architectures and Languages Europe, 5th International {PARLE} Conference, Munich, Germany, June 14-17, 1993, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {694}, pages = {254--265}, publisher = {Springer}, year = {1993}, url = {https://doi.org/10.1007/3-540-56891-3\_20}, doi = {10.1007/3-540-56891-3\_20}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/parle/LiuDT93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parle/LopezD93, author = {Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {Arndt Bode and Mike Reeve and Gottfried Wolf}, title = {Deadlock-Free Adaptive Routing Algorithms for the 3D-Torus: Limitations and Solutions}, booktitle = {{PARLE} '93, Parallel Architectures and Languages Europe, 5th International {PARLE} Conference, Munich, Germany, June 14-17, 1993, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {694}, pages = {684--687}, publisher = {Springer}, year = {1993}, url = {https://doi.org/10.1007/3-540-56891-3\_59}, doi = {10.1007/3-540-56891-3\_59}, timestamp = {Wed, 19 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/parle/LopezD93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/0001D93, author = {Jos{\'{e}} M. Garc{\'{\i}}a and Jos{\'{e}} Duato}, title = {Dynamic reconfiguration of multicomputer networks: limitations and tradeoffs}, booktitle = {1993 Euromicro Workshop on Parallel and Distributed Processing, {PDP} 1993, Gran Canaria, Spain, 27-29 January 1993}, pages = {317--323}, publisher = {{IEEE}}, year = {1993}, url = {https://doi.org/10.1109/EMPDP.1993.336386}, doi = {10.1109/EMPDP.1993.336386}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pdp/0001D93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spdp/Duato93, author = {Jos{\'{e}} Duato}, title = {A New Theory of Deadlock-free Adaptive Multicast Routing in Wormhole Networks}, booktitle = {Proceedings of the Fifth {IEEE} Symposium on Parallel and Distributed Processing, {SPDP} 1993, Dallas, Texas, USA, December 2-5, 1993}, pages = {64--71}, publisher = {{IEEE} Computer Society}, year = {1993}, url = {https://doi.org/10.1109/SPDP.1993.395549}, doi = {10.1109/SPDP.1993.395549}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/spdp/Duato93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppl/Duato92, author = {Jos{\'{e}} Duato}, title = {Channel Classes: {A} New Concept for Deadlock Avoidance in Wormhole Networks}, journal = {Parallel Process. Lett.}, volume = {2}, pages = {347--354}, year = {1992}, url = {https://doi.org/10.1142/S0129626492000490}, doi = {10.1142/S0129626492000490}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ppl/Duato92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parle/Duato92, author = {Jos{\'{e}} Duato}, editor = {Daniel Etiemble and Jean{-}Claude Syre}, title = {Improving the Efficiency of Virtual Channels with Time-Dependent Selection Functions}, booktitle = {{PARLE} '92: Parallel Architectures and Languages Europe, 4th International {PARLE} Conference, Paris, France, June 15-18, 1992, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {605}, pages = {635--650}, publisher = {Springer}, year = {1992}, url = {https://doi.org/10.1007/3-540-55599-4\_115}, doi = {10.1007/3-540-55599-4\_115}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/parle/Duato92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edmcc/Duato91, author = {Jos{\'{e}} Duato}, editor = {Arndt Bode}, title = {On the Design of Deadlock-Free Adaptive Routing Algorithms for Multicomputers: Theoretical Aspects}, booktitle = {Distributed Memory Computing, 2nd European Conference, EDMCC2, Munich, FRG, April 22-24, 1991, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {487}, pages = {234--243}, publisher = {Springer}, year = {1991}, url = {https://doi.org/10.1007/BFb0032940}, doi = {10.1007/BFB0032940}, timestamp = {Fri, 17 Nov 2023 09:27:30 +0100}, biburl = {https://dblp.org/rec/conf/edmcc/Duato91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parle/Duato91, author = {Jos{\'{e}} Duato}, editor = {Emile H. L. Aarts and Jan van Leeuwen and Martin Rem}, title = {On the Design of Deadlock-Free Adaptive Routing Algorithms for Multicomputers: Design Methodologies}, booktitle = {{PARLE} '91: Parallel Architectures and Languages Europe, Volume {I:} Parallel Architectures and Algorithms, Eindhoven, The Netherlands, June 10-13, 1991, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {505}, pages = {390--405}, publisher = {Springer}, year = {1991}, url = {https://doi.org/10.1007/BFb0035117}, doi = {10.1007/BFB0035117}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/parle/Duato91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spdp/Duato91, author = {Jos{\'{e}} Duato}, title = {Deadlock-free adaptive routing algorithms for multicomputers: evaluation of a new algorithm}, booktitle = {Proceedings of the Third {IEEE} Symposium on Parallel and Distributed Processing, {SPDP} 1991, 2-5 December 1991, Dallas, Texas, {USA}}, pages = {840--847}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/SPDP.1991.218233}, doi = {10.1109/SPDP.1991.218233}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/spdp/Duato91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spdp/GarciaD91, author = {Jos{\'{e}} M. Garc{\'{\i}}a and Jos{\'{e}} Duato}, title = {An algorithm for dynamic reconfiguration of a multicomputer network}, booktitle = {Proceedings of the Third {IEEE} Symposium on Parallel and Distributed Processing, {SPDP} 1991, 2-5 December 1991, Dallas, Texas, {USA}}, pages = {848--855}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/SPDP.1991.218232}, doi = {10.1109/SPDP.1991.218232}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/spdp/GarciaD91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.