Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Sujit Dey
@article{DBLP:journals/tvt/FlowersKBD24, author = {Bryse Flowers and Yu{-}Jen Ku and Sabur Baidya and Sujit Dey}, title = {Utilizing Reinforcement Learning for Adaptive Sensor Data Sharing Over {C-V2X} Communications}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {73}, number = {3}, pages = {4051--4066}, year = {2024}, url = {https://doi.org/10.1109/TVT.2023.3322068}, doi = {10.1109/TVT.2023.3322068}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/FlowersKBD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/JungKZD23, author = {Suhwan Jung and Hyoil Kim and Xinyu Zhang and Sujit Dey}, title = {GaMiCO: Game-slicing based multi-interface computation offloading in 5G vehicular networks}, journal = {J. Commun. Networks}, volume = {25}, number = {4}, pages = {491--506}, year = {2023}, url = {https://doi.org/10.23919/jcn.2023.000027}, doi = {10.23919/JCN.2023.000027}, timestamp = {Fri, 05 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcn/JungKZD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/LeitnerBCRMD23, author = {Jared Leitner and Alexander Behnke and Po{-}Han Chiang and Michele Ritter and Marlene Millen and Sujit Dey}, title = {Classification of Patient Recovery From {COVID-19} Symptoms Using Consumer Wearables and Machine Learning}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {27}, number = {3}, pages = {1271--1282}, year = {2023}, url = {https://doi.org/10.1109/JBHI.2023.3239366}, doi = {10.1109/JBHI.2023.3239366}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/LeitnerBCRMD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/KuBD23, author = {Yu{-}Jen Ku and Sabur Baidya and Sujit Dey}, title = {Uncertainty-Aware Task Offloading for Multi-Vehicle Perception Fusion Over Vehicular Edge Computing}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {72}, number = {11}, pages = {14906--14923}, year = {2023}, url = {https://doi.org/10.1109/TVT.2023.3284369}, doi = {10.1109/TVT.2023.3284369}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/KuBD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chase/GargPCD23, author = {Bhanu Garg and Alexander Postlmayr and Pamela C. Cosman and Sujit Dey}, title = {Short: Deep Learning Approach to Skeletal Performance Evaluation of Physical Therapy Exercises}, booktitle = {{IEEE/ACM} Conference on Connected Health: Applications, Systems and Engineering Technologies, {CHASE} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {168--172}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1145/3580252.3586984}, doi = {10.1145/3580252.3586984}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chase/GargPCD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KutukcuBRD23, author = {Basar K{\"{u}}t{\"{u}}k{\c{c}}{\"{u}} and Sabur Baidya and Anand Raghunathan and Sujit Dey}, editor = {J{\"{u}}rgen Becker and Andrew Marshall and Tanja Harbaum and Amlan Ganguly and Fahad Siddiqui and Kieran McLaughlin}, title = {EvoSh: Evolutionary Search with Shaving to Enable Power-Latency Tradeoff in Deep Learning Computing on Embedded Systems}, booktitle = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023, Santa Clara, CA, USA, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SOCC58585.2023.10257061}, doi = {10.1109/SOCC58585.2023.10257061}, timestamp = {Tue, 21 Nov 2023 07:48:13 +0100}, biburl = {https://dblp.org/rec/conf/socc/KutukcuBRD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-11284, author = {Yufan Zhang and Sujit Dey and Yuanyuan Shi}, title = {Optimal Vehicle Charging in Bilevel Power-Traffic Networks via Charging Demand Function}, journal = {CoRR}, volume = {abs/2304.11284}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.11284}, doi = {10.48550/ARXIV.2304.11284}, eprinttype = {arXiv}, eprint = {2304.11284}, timestamp = {Tue, 02 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-11284.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-00343, author = {Onur N. Tepencelik and Wenchuan Wei and Pamela C. Cosman and Sujit Dey}, title = {Analyzing Head Orientation of Neurotypical and Autistic Individuals in Triadic Conversations}, journal = {CoRR}, volume = {abs/2311.00343}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.00343}, doi = {10.48550/ARXIV.2311.00343}, eprinttype = {arXiv}, eprint = {2311.00343}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-00343.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ThorntonFD22, author = {Samuel Thornton and Bryse Flowers and Sujit Dey}, title = {Multi-Source Feature Fusion for Object Detection Association in Connected Vehicle Environments}, journal = {{IEEE} Access}, volume = {10}, pages = {131841--131854}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3228735}, doi = {10.1109/ACCESS.2022.3228735}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ThorntonFD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/KutukcuBRD22, author = {Basar K{\"{u}}t{\"{u}}k{\c{c}}{\"{u}} and Sabur Baidya and Anand Raghunathan and Sujit Dey}, title = {Contention Grading and Adaptive Model Selection for Machine Vision in Embedded Systems}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {21}, number = {5}, pages = {55:1--55:29}, year = {2022}, url = {https://doi.org/10.1145/3520134}, doi = {10.1145/3520134}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/KutukcuBRD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/LeitnerCD22, author = {Jared Leitner and Po{-}Han Chiang and Sujit Dey}, title = {Personalized Blood Pressure Estimation Using Photoplethysmography: {A} Transfer Learning Approach}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {26}, number = {1}, pages = {218--228}, year = {2022}, url = {https://doi.org/10.1109/JBHI.2021.3085526}, doi = {10.1109/JBHI.2021.3085526}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/LeitnerCD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdh/LeitnerCKD22, author = {Jared Leitner and Po{-}Han Chiang and Brian Khan and Sujit Dey}, editor = {Sheikh Iqbal Ahamed and Claudio Agostino Ardagna and Hongyi Bian and Mario A. Bochicchio and Carl K. Chang and Rong N. Chang and Ernesto Damiani and Lin Liu and Misha Pavel and Corrado Priami and Hossain Shahriar and Robert Ward and Fatos Xhafa and Jia Zhang and Farhana H. Zulkernine}, title = {An mHealth Lifestyle Intervention Service for Improving Blood Pressure using Machine Learning and IoMTs}, booktitle = {{IEEE} International Conference on Digital Health, {ICDH} 2022, Barcelona, Spain, July 10-16, 2022}, pages = {142--150}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICDH55609.2022.00030}, doi = {10.1109/ICDH55609.2022.00030}, timestamp = {Fri, 23 Jun 2023 11:36:46 +0200}, biburl = {https://dblp.org/rec/conf/icdh/LeitnerCKD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/KuFTBD22, author = {Yu{-}Jen Ku and Bryse Flowers and Samuel Thornton and Sabur Baidya and Sujit Dey}, title = {Adaptive {C-V2X} Sidelink Communications for Vehicular Applications Beyond Safety Messages}, booktitle = {95th {IEEE} Vehicular Technology Conference, {VTC} Spring 2022, Helsinki, Finland, June 19-22, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VTC2022-Spring54318.2022.9860580}, doi = {10.1109/VTC2022-SPRING54318.2022.9860580}, timestamp = {Wed, 25 Jan 2023 10:28:23 +0100}, biburl = {https://dblp.org/rec/conf/vtc/KuFTBD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/HouDZB21, author = {Xueshi Hou and Sujit Dey and Jianzhong Zhang and Madhukar Budagavi}, title = {Predictive Adaptive Streaming to Enable Mobile 360-Degree and {VR} Experiences}, journal = {{IEEE} Trans. Multim.}, volume = {23}, pages = {716--731}, year = {2021}, url = {https://doi.org/10.1109/TMM.2020.2987693}, doi = {10.1109/TMM.2020.2987693}, timestamp = {Mon, 04 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmm/HouDZB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/KuBD21, author = {Yu{-}Jen Ku and Sabur Baidya and Sujit Dey}, title = {Adaptive Computation Partitioning and Offloading in Real-Time Sustainable Vehicular Edge Computing}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {70}, number = {12}, pages = {13221--13237}, year = {2021}, url = {https://doi.org/10.1109/TVT.2021.3119585}, doi = {10.1109/TVT.2021.3119585}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/KuBD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/KutukcuBRD21, author = {Basar K{\"{u}}t{\"{u}}k{\c{c}}{\"{u}} and Sabur Baidya and Anand Raghunathan and Sujit Dey}, title = {Contention-aware Adaptive Model Selection for Machine Vision in Embedded Systems}, booktitle = {3rd {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2021, Washington, DC, USA, June 6-9, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/AICAS51828.2021.9458468}, doi = {10.1109/AICAS51828.2021.9458468}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aicas/KutukcuBRD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusipco/TepencelikWCCD21, author = {Onur N. Tepencelik and Wenchuan Wei and Leanne Chukoskie and Pamela C. Cosman and Sujit Dey}, title = {Body and Head Orientation Estimation with Privacy Preserving LiDAR Sensors}, booktitle = {29th European Signal Processing Conference, {EUSIPCO} 2021, Dublin, Ireland, August 23-27, 2021}, pages = {766--770}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/EUSIPCO54536.2021.9616111}, doi = {10.23919/EUSIPCO54536.2021.9616111}, timestamp = {Thu, 09 Dec 2021 12:26:50 +0100}, biburl = {https://dblp.org/rec/conf/eusipco/TepencelikWCCD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/ChenDWBL21, author = {Jianrong Chen and Sujit Dey and Lei Wang and Ning Bi and Peng Liu}, title = {Multi-Modal Fusion Enhanced Model For Driver's Facial Expression Recognition}, booktitle = {2021 {IEEE} International Conference on Multimedia {\&} Expo Workshops, {ICME} Workshops, Shenzhen, China, July 5-9, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICMEW53276.2021.9455983}, doi = {10.1109/ICMEW53276.2021.9455983}, timestamp = {Thu, 19 May 2022 21:17:52 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/ChenDWBL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/Flowers0D21, author = {Bryse Flowers and Xinyu Zhang and Sujit Dey}, title = {Low Overhead Codebook Design for mmWave Roadside Units Placed at Smart Intersections}, booktitle = {32nd {IEEE} Annual International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2021, Helsinki, Finland, September 13-16, 2021}, pages = {366--372}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/PIMRC50174.2021.9569638}, doi = {10.1109/PIMRC50174.2021.9569638}, timestamp = {Fri, 29 Oct 2021 13:56:19 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/Flowers0D21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WeiMD20, author = {Wenchuan Wei and Carter McElroy and Sujit Dey}, title = {Using Sensors and Deep Learning to Enable On-Demand Balance Evaluation for Effective Physical Therapy}, journal = {{IEEE} Access}, volume = {8}, pages = {99889--99899}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2997341}, doi = {10.1109/ACCESS.2020.2997341}, timestamp = {Tue, 30 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WeiMD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ojcs/HouD20, author = {Xueshi Hou and Sujit Dey}, title = {Motion Prediction and Pre-Rendering at the Edge to Enable Ultra-Low Latency Mobile 6DoF Experiences}, journal = {{IEEE} Open J. Commun. Soc.}, volume = {1}, pages = {1674--1690}, year = {2020}, url = {https://doi.org/10.1109/OJCOMS.2020.3032608}, doi = {10.1109/OJCOMS.2020.3032608}, timestamp = {Fri, 29 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ojcs/HouD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/KuCD20, author = {Yu{-}Jen Ku and Po{-}Han Chiang and Sujit Dey}, title = {Real-Time QoS Optimization for Vehicular Edge Computing With Off-Grid Roadside Units}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {69}, number = {10}, pages = {11975--11991}, year = {2020}, url = {https://doi.org/10.1109/TVT.2020.3007640}, doi = {10.1109/TVT.2020.3007640}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/KuCD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cavs/ThorntonD20, author = {Samuel Thornton and Sujit Dey}, title = {Machine Learning Techniques for Vehicle Matching with Non-Overlapping Visual Features}, booktitle = {3rd {IEEE} Connected and Automated Vehicles Symposium, {CAVS} 2020, Victoria, BC, Canada, November 18 - December 16, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/CAVS51000.2020.9334562}, doi = {10.1109/CAVS51000.2020.9334562}, timestamp = {Thu, 11 Feb 2021 14:29:18 +0100}, biburl = {https://dblp.org/rec/conf/cavs/ThorntonD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BaidyaKZZD20, author = {Sabur Baidya and Yu{-}Jen Ku and Hengyu Zhao and Jishen Zhao and Sujit Dey}, title = {Vehicular and Edge Computing for Emerging Connected and Autonomous Vehicle Applications}, booktitle = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco, CA, USA, July 20-24, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DAC18072.2020.9218618}, doi = {10.1109/DAC18072.2020.9218618}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/BaidyaKZZD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/WangHZKD20, author = {Song Wang and Jingqi Huang and Xinyu Zhang and Hyoil Kim and Sujit Dey}, title = {X-Array: approximating omnidirectional millimeter-wave coverage using an array of phased arrays}, booktitle = {MobiCom '20: The 26th Annual International Conference on Mobile Computing and Networking, London, United Kingdom, September 21-25, 2020}, pages = {5:1--5:14}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3372224.3380882}, doi = {10.1145/3372224.3380882}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobicom/WangHZKD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChiangD19, author = {Po{-}Han Chiang and Sujit Dey}, title = {Offline and Online Learning Techniques for Personalized Blood Pressure Prediction and Health Behavior Recommendations}, journal = {{IEEE} Access}, volume = {7}, pages = {130854--130864}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2939218}, doi = {10.1109/ACCESS.2019.2939218}, timestamp = {Sat, 12 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChiangD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/WeiLRD19, author = {Wenchuan Wei and Yao Lu and Eric Rhoden and Sujit Dey}, title = {User performance evaluation and real-time guidance in cloud-based physical therapy monitoring and guidance system}, journal = {Multim. Tools Appl.}, volume = {78}, number = {7}, pages = {9051--9081}, year = {2019}, url = {https://doi.org/10.1007/s11042-017-5278-5}, doi = {10.1007/S11042-017-5278-5}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/WeiLRD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/HouZBD19, author = {Xueshi Hou and Jianzhong Zhang and Madhukar Budagavi and Sujit Dey}, title = {Head and Body Motion Prediction to Enable Mobile {VR} Experiences with Low Latency}, booktitle = {2019 {IEEE} Global Communications Conference, {GLOBECOM} 2019, Waikoloa, HI, USA, December 9-13, 2019}, pages = {1--7}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/GLOBECOM38437.2019.9014097}, doi = {10.1109/GLOBECOM38437.2019.9014097}, timestamp = {Mon, 04 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/HouZBD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/healthcom/LeitnerCD19, author = {Jared Leitner and Po{-}Han Chiang and Sujit Dey}, title = {Personalized Blood Pressure Estimation using Photoplethysmography and Wavelet Decomposition}, booktitle = {2019 {IEEE} International Conference on E-health Networking, Application {\&} Services, HealthCom 2019, Bogot{\'{a}}, Colombia, October 14-16, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HealthCom46333.2019.9009587}, doi = {10.1109/HEALTHCOM46333.2019.9009587}, timestamp = {Mon, 09 Mar 2020 17:10:13 +0100}, biburl = {https://dblp.org/rec/conf/healthcom/LeitnerCD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichi/WeiD19, author = {Wenchuan Wei and Sujit Dey}, title = {Center of Mass Estimation for Balance Evaluation Using Convolutional Neural Networks}, booktitle = {2019 {IEEE} International Conference on Healthcare Informatics, {ICHI} 2019, Xi'an, China, June 10-13, 2019}, pages = {1--7}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICHI.2019.8904550}, doi = {10.1109/ICHI.2019.8904550}, timestamp = {Wed, 27 Nov 2019 14:42:06 +0100}, biburl = {https://dblp.org/rec/conf/ichi/WeiD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/KuD19, author = {Yu{-}Jen Ku and Sujit Dey}, title = {Sustainable Vehicular Edge Computing Using Local and Solar-Powered Roadside Unit Resources}, booktitle = {90th {IEEE} Vehicular Technology Conference, {VTC} Fall 2019, Honolulu, HI, USA, September 22-25, 2019}, pages = {1--7}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VTCFall.2019.8891272}, doi = {10.1109/VTCFALL.2019.8891272}, timestamp = {Mon, 20 Dec 2021 11:29:04 +0100}, biburl = {https://dblp.org/rec/conf/vtc/KuD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgcn/ChiangGD18, author = {Po{-}Han Chiang and Ranjini Guruprasad and Sujit Dey}, title = {Optimal Use of Harvested Solar, Hybrid Storage and Base Station Resources for Green Cellular Networks}, journal = {{IEEE} Trans. Green Commun. Netw.}, volume = {2}, number = {3}, pages = {707--720}, year = {2018}, url = {https://doi.org/10.1109/TGCN.2018.2834519}, doi = {10.1109/TGCN.2018.2834519}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgcn/ChiangGD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tomccap/HouLD18, author = {Xueshi Hou and Yao Lu and Sujit Dey}, title = {Novel Hybrid-Cast Approach to Reduce Bandwidth and Latency for Cloud-Based Virtual Space}, journal = {{ACM} Trans. Multim. Comput. Commun. Appl.}, volume = {14}, number = {3s}, pages = {58:1--58:25}, year = {2018}, url = {https://doi.org/10.1145/3205864}, doi = {10.1145/3205864}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tomccap/HouLD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/healthcom/ChiangD18, author = {Po{-}Han Chiang and Sujit Dey}, title = {Personalized Effect of Health Behavior on Blood Pressure: Machine Learning Based Prediction and Recommendation}, booktitle = {20th {IEEE} International Conference on e-Health Networking, Applications and Services, Healthcom 2018, Ostrava, Czech Republic, September 17-20, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/HealthCom.2018.8531109}, doi = {10.1109/HEALTHCOM.2018.8531109}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/healthcom/ChiangD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccn/KuCD18, author = {Yu{-}Jen Ku and Po{-}Han Chiang and Sujit Dey}, title = {Quality of Service Optimization for Vehicular Edge Computing with Solar-Powered Road Side Units}, booktitle = {27th International Conference on Computer Communication and Networks, {ICCCN} 2018, Hangzhou, China, July 30 - August 2, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICCCN.2018.8487353}, doi = {10.1109/ICCCN.2018.8487353}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/icccn/KuCD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichi/WeiMD18, author = {Wenchuan Wei and Carter McElroy and Sujit Dey}, title = {Human Action Understanding and Movement Error Identification for the Treatment of Patients with Parkinson's Disease}, booktitle = {{IEEE} International Conference on Healthcare Informatics, {ICHI} 2018, New York City, NY, USA, June 4-7, 2018}, pages = {180--190}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICHI.2018.00028}, doi = {10.1109/ICHI.2018.00028}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ichi/WeiMD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/HouDZB18, author = {Xueshi Hou and Sujit Dey and Jianzhong Zhang and Madhukar Budagavi}, editor = {Richard Li and Yong Liu}, title = {Predictive View Generation to Enable Mobile 360-degree and {VR} Experiences}, booktitle = {Proceedings of the 2018 Morning Workshop on Virtual Reality and Augmented Reality Network, {VR/AR} Network@SIGCOMM 2018, Budapest, Hungary, August 24, 2018}, pages = {20--26}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3229625.3229629}, doi = {10.1145/3229625.3229629}, timestamp = {Mon, 04 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/HouDZB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/LuLD17, author = {Yao Lu and Yao Liu and Sujit Dey}, title = {Asymmetric and selective object rendering for optimized Cloud Mobile 3D Display Gaming user experience}, journal = {Multim. Tools Appl.}, volume = {76}, number = {18}, pages = {18291--18320}, year = {2017}, url = {https://doi.org/10.1007/s11042-016-3798-z}, doi = {10.1007/S11042-016-3798-Z}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/LuLD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgcn/GuruprasadD17, author = {Ranjini Guruprasad and Sujit Dey}, title = {User QoS-Aware Adaptive {RF} Chain Switching for Power Efficient Cooperative Base Stations}, journal = {{IEEE} Trans. Green Commun. Netw.}, volume = {1}, number = {4}, pages = {409--422}, year = {2017}, url = {https://doi.org/10.1109/TGCN.2017.2738442}, doi = {10.1109/TGCN.2017.2738442}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgcn/GuruprasadD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccn/HouLD17, author = {Xueshi Hou and Yao Lu and Sujit Dey}, title = {Wireless {VR/AR} with Edge/Cloud Computing}, booktitle = {26th International Conference on Computer Communication and Networks, {ICCCN} 2017, Vancouver, BC, Canada, July 31 - Aug. 3, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICCCN.2017.8038375}, doi = {10.1109/ICCCN.2017.8038375}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/icccn/HouLD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ithings/NiCD17, author = {Weiheng Ni and Po{-}Han Chiang and Sujit Dey}, editor = {Yulei Wu and Geyong Min and Nektarios Georgalas and Ahmed Al{-}Dubi and Xiaolong Jin and Laurence T. Yang and Jianhua Ma and Po Yang}, title = {Energy Efficient Hybrid Beamforming in Massive {MU-MIMO} Systems via Eigenmode Selection}, booktitle = {2017 {IEEE} International Conference on Internet of Things (iThings) and {IEEE} Green Computing and Communications (GreenCom) and {IEEE} Cyber, Physical and Social Computing (CPSCom) and {IEEE} Smart Data (SmartData), Exeter, United Kingdom, June 21-23, 2017}, pages = {400--406}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/iThings-GreenCom-CPSCom-SmartData.2017.66}, doi = {10.1109/ITHINGS-GREENCOM-CPSCOM-SMARTDATA.2017.66}, timestamp = {Thu, 01 Feb 2024 20:40:31 +0100}, biburl = {https://dblp.org/rec/conf/ithings/NiCD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/VermaHBPD16, author = {Chetan Kumar Verma and Michael Hart and Sandeep Bhatkar and Aleatha Parker{-}Wood and Sujit Dey}, title = {Improving Scalability of Personalized Recommendation Systems for Enterprise Knowledge Workers}, journal = {{IEEE} Access}, volume = {4}, pages = {204--215}, year = {2016}, url = {https://doi.org/10.1109/ACCESS.2015.2513000}, doi = {10.1109/ACCESS.2015.2513000}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/VermaHBPD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/LuD16, author = {Yao Lu and Sujit Dey}, title = {{JAVRE:} {A} Joint Asymmetric Video Rendering and Encoding Approach to Enable Optimized Cloud Mobile 3D Virtual Immersive User Experience}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {6}, number = {4}, pages = {544--559}, year = {2016}, url = {https://doi.org/10.1109/JETCAS.2016.2602246}, doi = {10.1109/JETCAS.2016.2602246}, timestamp = {Fri, 26 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/LuD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/SonGNSD16, author = {Kyuho Son and Ranjini Guruprasad and Santosh Nagaraj and Mahasweta Sarkar and Sujit Dey}, title = {Dynamic cell reconfiguration framework for energy conservation in cellular wireless networks}, journal = {J. Commun. Networks}, volume = {18}, number = {4}, pages = {567--579}, year = {2016}, url = {https://doi.org/10.1109/JCN.2016.000080}, doi = {10.1109/JCN.2016.000080}, timestamp = {Fri, 03 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/SonGNSD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/PedersenD16, author = {Hasti A. Pedersen and Sujit Dey}, title = {Enhancing Mobile Video Capacity and Quality Using Rate Adaptation, {RAN} Caching and Processing}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {24}, number = {2}, pages = {996--1010}, year = {2016}, url = {https://doi.org/10.1109/TNET.2015.2410298}, doi = {10.1109/TNET.2015.2410298}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ton/PedersenD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KozhikkottuVRD16, author = {Vivek Joy Kozhikkottu and Rangharajan Venkatesan and Anand Raghunathan and Sujit Dey}, title = {Emulation-Based Analysis of System-on-Chip Performance Under Variations}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {24}, number = {12}, pages = {3401--3414}, year = {2016}, url = {https://doi.org/10.1109/TVLSI.2016.2551243}, doi = {10.1109/TVLSI.2016.2551243}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/KozhikkottuVRD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ism/HouLD16, author = {Xueshi Hou and Yao Lu and Sujit Dey}, title = {A Novel Hyper-Cast Approach to Enable Cloud-Based Virtual Classroom Applications}, booktitle = {{IEEE} International Symposium on Multimedia, {ISM} 2016, San Jose, CA, USA, December 11-13, 2016}, pages = {533--536}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISM.2016.0117}, doi = {10.1109/ISM.2016.0117}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ism/HouLD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/VermaD15, author = {Chetan Kumar Verma and Sujit Dey}, title = {Methods to Obtain Training Videos for Fully Automated Application-Specific Classification}, journal = {{IEEE} Access}, volume = {3}, pages = {1188--1205}, year = {2015}, url = {https://doi.org/10.1109/ACCESS.2015.2461156}, doi = {10.1109/ACCESS.2015.2461156}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/VermaD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/VermaMRAKJD15, author = {Chetan Kumar Verma and Vijay Mahadevan and Nikhil Rasiwasia and Gaurav Aggarwal and Ravi Kant and Alejandro Jaimes and Sujit Dey}, title = {Construction and evaluation of ontological tag trees}, journal = {Expert Syst. Appl.}, volume = {42}, number = {24}, pages = {9587--9602}, year = {2015}, url = {https://doi.org/10.1016/j.eswa.2015.07.057}, doi = {10.1016/J.ESWA.2015.07.057}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/VermaMRAKJD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jstsp/LuLD15, author = {Yao Lu and Yao Liu and Sujit Dey}, title = {Cloud Mobile 3D Display Gaming User Experience Modeling and Optimization by Asymmetric Graphics Rendering}, journal = {{IEEE} J. Sel. Top. Signal Process.}, volume = {9}, number = {3}, pages = {517--532}, year = {2015}, url = {https://doi.org/10.1109/JSTSP.2015.2396475}, doi = {10.1109/JSTSP.2015.2396475}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jstsp/LuLD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/LiuDULM15, author = {Yao Liu and Sujit Dey and Fatih Ulupinar and Michael Luby and Yinian Mao}, title = {Deriving and Validating User Experience Model for {DASH} Video Streaming}, journal = {{IEEE} Trans. Broadcast.}, volume = {61}, number = {4}, pages = {651--665}, year = {2015}, url = {https://doi.org/10.1109/TBC.2015.2460611}, doi = {10.1109/TBC.2015.2460611}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/LiuDULM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/LiuDL15, author = {Yao Liu and Sujit Dey and Yao Lu}, title = {Enhancing Video Encoding for Cloud Gaming Using Rendering Information}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {25}, number = {12}, pages = {1960--1974}, year = {2015}, url = {https://doi.org/10.1109/TCSVT.2015.2450175}, doi = {10.1109/TCSVT.2015.2450175}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/LiuDL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/GuruprasadD15, author = {Ranjini Guruprasad and Sujit Dey}, title = {Battery Aware Video Delivery Techniques Using Rate Adaptation and Base Station Reconfiguration}, journal = {{IEEE} Trans. Multim.}, volume = {17}, number = {9}, pages = {1630--1645}, year = {2015}, url = {https://doi.org/10.1109/TMM.2015.2436821}, doi = {10.1109/TMM.2015.2436821}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmm/GuruprasadD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/MirtarDR15, author = {Ali Mirtar and Sujit Dey and Anand Raghunathan}, title = {An Application Adaptation Approach to Mitigate the Impact of Dynamic Thermal Management on Video Encoding}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {20}, number = {4}, pages = {50:1--50:27}, year = {2015}, url = {https://doi.org/10.1145/2753758}, doi = {10.1145/2753758}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/MirtarDR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/MirtarDR15, author = {Ali Mirtar and Sujit Dey and Anand Raghunathan}, title = {Joint Work and Voltage/Frequency Scaling for Quality-Optimized Dynamic Thermal Management}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {23}, number = {6}, pages = {1017--1030}, year = {2015}, url = {https://doi.org/10.1109/TVLSI.2014.2333741}, doi = {10.1109/TVLSI.2014.2333741}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/MirtarDR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/healthcom/ShenLD15, author = {Dennis Shen and Yao Lu and Sujit Dey}, title = {Motion data alignment for real-time guidance in avatar based physical therapy training system}, booktitle = {17th International Conference on E-health Networking, Application {\&} Services, HealthCom 2015, Boston, MA, USA, October 14-17, 2015}, pages = {238--244}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/HealthCom.2015.7454505}, doi = {10.1109/HEALTHCOM.2015.7454505}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/healthcom/ShenLD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/GuruprasadSD15, author = {Ranjini Guruprasad and Kyuho Son and Sujit Dey}, title = {Power-efficient base station operation through user QoS-aware adaptive {RF} chain switching technique}, booktitle = {2015 {IEEE} International Conference on Communications, {ICC} 2015, London, United Kingdom, June 8-12, 2015}, pages = {244--250}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICC.2015.7248329}, doi = {10.1109/ICC.2015.7248329}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/GuruprasadSD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/LuLD15, author = {Yao Lu and Yao Liu and Sujit Dey}, title = {Optimizing Cloud Mobile 3D Display Gaming user experience by asymmetric object of interest rendering}, booktitle = {2015 {IEEE} International Conference on Communications, {ICC} 2015, London, United Kingdom, June 8-12, 2015}, pages = {6842--6848}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICC.2015.7249416}, doi = {10.1109/ICC.2015.7249416}, timestamp = {Fri, 26 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/LuLD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceis/VermaHBPD15, author = {Chetan Kumar Verma and Michael Hart and Sandeep Bhatkar and Aleatha Parker{-}Wood and Sujit Dey}, editor = {Slimane Hammoudi and Leszek A. Maciaszek and Ernest Teniente}, title = {Access Prediction for Knowledge Workers in Enterprise Data Repositories}, booktitle = {{ICEIS} 2015 - Proceedings of the 17th International Conference on Enterprise Information Systems, Volume 1, Barcelona, Spain, 27-30 April, 2015}, pages = {150--161}, publisher = {SciTePress}, year = {2015}, url = {https://doi.org/10.5220/0005374901500161}, doi = {10.5220/0005374901500161}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iceis/VermaHBPD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ism/LiuLD15, author = {Yao Liu and Yao Liu and Sujit Dey}, title = {A Joint Asymmetric Graphics Rendering and Video Encoding Approach for Optimizing Cloud Mobile 3D Display Gaming User Experience}, booktitle = {2015 {IEEE} International Symposium on Multimedia, {ISM} 2015, Miami, FL, USA, December 14-16, 2015}, pages = {421--428}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISM.2015.27}, doi = {10.1109/ISM.2015.27}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ism/LiuLD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/ChiangGD15, author = {Po{-}Han Chiang and Ranjini Guruprasad and Sujit Dey}, title = {Renewable energy-aware video download in cellular networks}, booktitle = {26th {IEEE} Annual International Symposium on Personal, Indoor, and Mobile Radio Communications, {PIMRC} 2015, Hong Kong, China, August 30 - September 2, 2015}, pages = {1622--1627}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/PIMRC.2015.7343558}, doi = {10.1109/PIMRC.2015.7343558}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/ChiangGD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wh/WeiLPD15, author = {Wenchuan Wei and Yao Lu and Catherine D. Printz and Sujit Dey}, editor = {Wendy Nilsen and Jack A. Stankovic}, title = {Motion data alignment and real-time guidance in cloud-based virtual training system}, booktitle = {Proceedings of the conference on Wireless Health, {WH} 2015, Bethesda, Maryland, USA, October 14-16, 2015}, pages = {13:1--13:8}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2811780.2811952}, doi = {10.1145/2811780.2811952}, timestamp = {Fri, 26 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wh/WeiLPD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/LiuWD14, author = {Yao Liu and Shaoxuan Wang and Sujit Dey}, title = {Content-Aware Modeling and Enhancing User Experience in Cloud Mobile Rendering and Streaming}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {4}, number = {1}, pages = {43--56}, year = {2014}, url = {https://doi.org/10.1109/JETCAS.2014.2298921}, doi = {10.1109/JETCAS.2014.2298921}, timestamp = {Sun, 07 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/LiuWD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/AhlehaghD14, author = {Hasti Ahlehagh and Sujit Dey}, title = {Video-Aware Scheduling and Caching in the Radio Access Network}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {22}, number = {5}, pages = {1444--1462}, year = {2014}, url = {https://doi.org/10.1109/TNET.2013.2294111}, doi = {10.1109/TNET.2013.2294111}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ton/AhlehaghD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KozhikkottuPPDR14, author = {Vivek Joy Kozhikkottu and Abhisek Pan and Vijay S. Pai and Sujit Dey and Anand Raghunathan}, title = {Variation Aware Cache Partitioning for Multithreaded Programs}, booktitle = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San Francisco, CA, USA, June 1-5, 2014}, pages = {199:1--199:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2593069.2593240}, doi = {10.1145/2593069.2593240}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/KozhikkottuPPDR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccnc/LuLD14, author = {Yao Lu and Yao Liu and Sujit Dey}, title = {Enhancing Cloud Mobile 3D display gaming user experience by asymmetric graphics rendering}, booktitle = {International Conference on Computing, Networking and Communications, {ICNC} 2014, Honolulu, HI, USA, February 3-6, 2014}, pages = {368--374}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCNC.2014.6785362}, doi = {10.1109/ICCNC.2014.6785362}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/iccnc/LuLD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/KozhikkottuVDR14, author = {Vivek Joy Kozhikkottu and Swagath Venkataramani and Sujit Dey and Anand Raghunathan}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Variation tolerant design of a vector processor for recognition, mining and synthesis}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {239--244}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627636}, doi = {10.1145/2627369.2627636}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/KozhikkottuVDR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mswim/PedersenD14, author = {Hasti A. Pedersen and Sujit Dey}, editor = {Ravi Prakash and Azzedine Boukerche and Cheng Li and Falko Dressler}, title = {Mobile device video caching to improve video qoe and cellular network capacity}, booktitle = {17th {ACM} International Conference on Modeling, Analysis and Simulation of Wireless and Mobile Systems, MSWiM'14, Montreal, QC, Canada, September 21-26, 2014}, pages = {103--107}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2641798.2641820}, doi = {10.1145/2641798.2641820}, timestamp = {Wed, 22 Mar 2023 16:53:34 +0100}, biburl = {https://dblp.org/rec/conf/mswim/PedersenD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/VermaMRAKJD14, author = {Chetan Kumar Verma and Vijay Mahadevan and Nikhil Rasiwasia and Gaurav Aggarwal and Ravi Kant and Alejandro Jaimes and Sujit Dey}, editor = {Chin{-}Wan Chung and Andrei Z. Broder and Kyuseok Shim and Torsten Suel}, title = {Construction of tag ontological graphs by locally minimizing weighted average hops}, booktitle = {23rd International World Wide Web Conference, {WWW} '14, Seoul, Republic of Korea, April 7-11, 2014, Companion Volume}, pages = {393--394}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2567948.2577359}, doi = {10.1145/2567948.2577359}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/www/VermaMRAKJD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/WangD13, author = {Shaoxuan Wang and Sujit Dey}, title = {Adaptive Mobile Cloud Computing to Enable Rich Mobile Multimedia Applications}, journal = {{IEEE} Trans. Multim.}, volume = {15}, number = {4}, pages = {870--883}, year = {2013}, url = {https://doi.org/10.1109/TMM.2013.2240674}, doi = {10.1109/TMM.2013.2240674}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmm/WangD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pv/LiuDGUL13, author = {Yao Liu and Sujit Dey and Don Gillies and Fatih Ulupinar and Michael Luby}, title = {User Experience Modeling for {DASH} Video}, booktitle = {20th International Packet Video Workshop, {PV} 2013, San Jose, CA, USA, December 12-13, 2013}, pages = {1--8}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/PV.2013.6691459}, doi = {10.1109/PV.2013.6691459}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/pv/LiuDGUL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/GuruprasadD13, author = {Ranjini Guruprasad and Sujit Dey}, title = {Rate adaptation and base station reconfiguration for battery efficient video download}, booktitle = {2013 {IEEE} Wireless Communications and Networking Conference (WCNC), Shanghai, Shanghai, China, April 7-10, 2013}, pages = {339--344}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/WCNC.2013.6554587}, doi = {10.1109/WCNC.2013.6554587}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/GuruprasadD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/AhlehaghD13, author = {Hasti Ahlehagh and Sujit Dey}, title = {Adaptive Bit Rate capable video caching and scheduling}, booktitle = {2013 {IEEE} Wireless Communications and Networking Conference (WCNC), Shanghai, Shanghai, China, April 7-10, 2013}, pages = {1357--1362}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/WCNC.2013.6554761}, doi = {10.1109/WCNC.2013.6554761}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/AhlehaghD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/SonNSD13, author = {Kyuho Son and Santosh Nagaraj and Mahasweta Sarkar and Sujit Dey}, title = {QoS-aware dynamic cell reconfiguration for energy conservation in cellular networks}, booktitle = {2013 {IEEE} Wireless Communications and Networking Conference (WCNC), Shanghai, Shanghai, China, April 7-10, 2013}, pages = {2022--2027}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/WCNC.2013.6554874}, doi = {10.1109/WCNC.2013.6554874}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/SonNSD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webi/VermaD13, author = {Chetan Kumar Verma and Sujit Dey}, title = {Fully Automated Learning for Application-Specific Web Video Classification}, booktitle = {2013 {IEEE/WIC/ACM} International Conferences on Web Intelligence, {WI} 2013, Atlanta, GA, USA, November 17-20, 2013}, pages = {307--314}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/WI-IAT.2013.44}, doi = {10.1109/WI-IAT.2013.44}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/webi/VermaD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigmobile/WangD12, author = {Shaoxuan Wang and Sujit Dey}, title = {Cloud mobile gaming: modeling and measuring user experience in mobile wireless networks}, journal = {{ACM} {SIGMOBILE} Mob. Comput. Commun. Rev.}, volume = {16}, number = {1}, pages = {10--21}, year = {2012}, url = {https://doi.org/10.1145/2331675.2331679}, doi = {10.1145/2331675.2331679}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigmobile/WangD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChandraRD12, author = {Saumya Chandra and Anand Raghunathan and Sujit Dey}, title = {Variation-Aware Voltage Level Selection}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {20}, number = {5}, pages = {925--936}, year = {2012}, url = {https://doi.org/10.1109/TVLSI.2011.2126050}, doi = {10.1109/TVLSI.2011.2126050}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/ChandraRD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KozhikkottuDR12, author = {Vivek Joy Kozhikkottu and Sujit Dey and Anand Raghunathan}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Recovery-based design for variation-tolerant SoCs}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {826--833}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228510}, doi = {10.1145/2228360.2228510}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/KozhikkottuDR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/MirtarDR12, author = {Ali Mirtar and Sujit Dey and Anand Raghunathan}, title = {Adaptation of video encoding to address dynamic thermal management effects}, booktitle = {2012 International Green Computing Conference, {IGCC} 2012, San Jose, CA, USA, June 4-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IGCC.2012.6322294}, doi = {10.1109/IGCC.2012.6322294}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/green/MirtarDR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/WangLD12, author = {Shaoxuan Wang and Yao Liu and Sujit Dey}, title = {Wireless network aware cloud scheduler for scalable cloud mobile gaming}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2012, Ottawa, ON, Canada, June 10-15, 2012}, pages = {2081--2086}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICC.2012.6364497}, doi = {10.1109/ICC.2012.6364497}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/WangLD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/AhlehaghD12, author = {Hasti Ahlehagh and Sujit Dey}, title = {Hierarchical video caching in wireless cloud: Approaches and algorithms}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2012, Ottawa, ON, Canada, June 10-15, 2012}, pages = {7082--7087}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICC.2012.6364966}, doi = {10.1109/ICC.2012.6364966}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/AhlehaghD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccnc/LiuWD12, author = {Yao Liu and Shaoxuan Wang and Sujit Dey}, title = {Modeling, characterizing, and enhancing user experience in Cloud Mobile Rendering}, booktitle = {International Conference on Computing, Networking and Communications, {ICNC} 2012, Maui, HI, USA, January 30 - February 2, 2012}, pages = {739--745}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICCNC.2012.6167521}, doi = {10.1109/ICCNC.2012.6167521}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/iccnc/LiuWD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccnc/Dey12, author = {Sujit Dey}, title = {Cloud Mobile Media: Opportunities, challenges, and directions}, booktitle = {International Conference on Computing, Networking and Communications, {ICNC} 2012, Maui, HI, USA, January 30 - February 2, 2012}, pages = {929--933}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICCNC.2012.6167561}, doi = {10.1109/ICCNC.2012.6167561}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccnc/Dey12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/AhlehaghD12, author = {Hasti Ahlehagh and Sujit Dey}, title = {Video caching in Radio Access Network: Impact on delay and capacity}, booktitle = {2012 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2012, Paris, France, April 1-4, 2012}, pages = {2276--2281}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/WCNC.2012.6214173}, doi = {10.1109/WCNC.2012.6214173}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/AhlehaghD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KozhikkottuVRD11, author = {Vivek Joy Kozhikkottu and Rangharajan Venkatesan and Anand Raghunathan and Sujit Dey}, title = {{VESPA:} Variability emulation for System-on-Chip performance analysis}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {2--7}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763007}, doi = {10.1109/DATE.2011.5763007}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KozhikkottuVRD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChandraLRD10, author = {Saumya Chandra and Kanishka Lahiri and Anand Raghunathan and Sujit Dey}, title = {Variation-Aware System-Level Power Analysis}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {18}, number = {8}, pages = {1173--1184}, year = {2010}, url = {https://doi.org/10.1109/TVLSI.2009.2021478}, doi = {10.1109/TVLSI.2009.2021478}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/ChandraLRD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/WangD10, author = {Shaoxuan Wang and Sujit Dey}, title = {Rendering Adaptation to Address Communication and Computation Constraints in Cloud Mobile Gaming}, booktitle = {Proceedings of the Global Communications Conference, 2010. {GLOBECOM} 2010, 6-10 December 2010, Miami, Florida, {USA}}, pages = {1--6}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/GLOCOM.2010.5684144}, doi = {10.1109/GLOCOM.2010.5684144}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/WangD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/WangD10, author = {Shaoxuan Wang and Sujit Dey}, title = {Addressing Response Time and Video Quality in Remote Server Based Internet Mobile Gaming}, booktitle = {2010 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2010, Proceedings, Sydney, Australia, 18-21 April 2010}, pages = {1--6}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/WCNC.2010.5506572}, doi = {10.1109/WCNC.2010.5506572}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/WangD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigmobile/MukhopadhyaySD09, author = {Shoubhik Mukhopadhyay and Curt Schurgers and Sujit Dey}, title = {Enabling rich mobile applications: joint computation and communication scheduling}, journal = {{ACM} {SIGMOBILE} Mob. Comput. Commun. Rev.}, volume = {13}, number = {3}, pages = {14--25}, year = {2009}, url = {https://doi.org/10.1145/1710130.1710133}, doi = {10.1145/1710130.1710133}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigmobile/MukhopadhyaySD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/MukhopadhyaySPD09, author = {Shoubhik Mukhopadhyay and Curt Schurgers and Debashis Panigrahi and Sujit Dey}, title = {Model-Based Techniques for Data Reliability in Wireless Sensor Networks}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {8}, number = {4}, pages = {528--543}, year = {2009}, url = {https://doi.org/10.1109/TMC.2008.131}, doi = {10.1109/TMC.2008.131}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/MukhopadhyaySPD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChandraLRD09, author = {Saumya Chandra and Kanishka Lahiri and Anand Raghunathan and Sujit Dey}, title = {Variation-Tolerant Dynamic Power Management at the System-Level}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {17}, number = {9}, pages = {1220--1232}, year = {2009}, url = {https://doi.org/10.1109/TVLSI.2009.2019803}, doi = {10.1109/TVLSI.2009.2019803}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/ChandraLRD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/WangD09a, author = {Shaoxuan Wang and Sujit Dey}, title = {Modeling and Characterizing User Experience in a Cloud Server Based Mobile Gaming Approach}, booktitle = {Proceedings of the Global Communications Conference, 2009. {GLOBECOM} 2009, Honolulu, Hawaii, USA, 30 November - 4 December 2009}, pages = {1--7}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/GLOCOM.2009.5425784}, doi = {10.1109/GLOCOM.2009.5425784}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/WangD09a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/BanerjeeCGDRR09, author = {Nilanjan Banerjee and Saumya Chandra and Swaroop Ghosh and Sujit Dey and Anand Raghunathan and Kaushik Roy}, title = {Coping with Variations through System-Level Design}, booktitle = {{VLSI} Design 2009: Improving Productivity through Higher Abstraction, The 22nd International Conference on {VLSI} Design, New Delhi, India, 5-9 January 2009}, pages = {581--586}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/VLSI.Design.2009.96}, doi = {10.1109/VLSI.DESIGN.2009.96}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/BanerjeeCGDRR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ZhaoZD08, author = {Chong Zhao and Yi Zhao and Sujit Dey}, title = {Intelligent Robustness Insertion for Optimal Transient Error Tolerance Improvement in {VLSI} Circuits}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {16}, number = {6}, pages = {714--724}, year = {2008}, url = {https://doi.org/10.1109/TVLSI.2008.2000256}, doi = {10.1109/TVLSI.2008.2000256}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ZhaoZD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/SekarLRD08, author = {Krishna Sekar and Kanishka Lahiri and Anand Raghunathan and Sujit Dey}, title = {Dynamically Configurable Bus Topologies for High-Performance On-Chip Communication}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {16}, number = {10}, pages = {1413--1426}, year = {2008}, url = {https://doi.org/10.1109/TVLSI.2008.2000727}, doi = {10.1109/TVLSI.2008.2000727}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/SekarLRD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tr/ZhaoBD07, author = {Chong Zhao and Xiaoliang Bai and Sujit Dey}, title = {Evaluating Transient Error Effects in Digital Nanometer Circuits}, journal = {{IEEE} Trans. Reliab.}, volume = {56}, number = {3}, pages = {381--391}, year = {2007}, url = {https://doi.org/10.1109/TR.2007.903288}, doi = {10.1109/TR.2007.903288}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tr/ZhaoBD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/RamosPD07, author = {Naomi Ramos and Debashis Panigrahi and Sujit Dey}, title = {Dynamic adaptation policies to improve quality of service of real-time multimedia applications in {IEEE} 802.11e {WLAN} Networks}, journal = {Wirel. Networks}, volume = {13}, number = {4}, pages = {511--535}, year = {2007}, url = {https://doi.org/10.1007/s11276-006-9203-5}, doi = {10.1007/S11276-006-9203-5}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/RamosPD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChandraLRD07, author = {Saumya Chandra and Kanishka Lahiri and Anand Raghunathan and Sujit Dey}, title = {System-on-Chip Power Management Considering Leakage Power Variations}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {877--882}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1145/1278480.1278696}, doi = {10.1145/1278480.1278696}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChandraLRD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/MukhopadhyaySD07, author = {Shoubhik Mukhopadhyay and Curt Schurgers and Sujit Dey}, title = {Joint Computation and Communication Scheduling to Enable Rich Mobile Applications}, booktitle = {Proceedings of the Global Communications Conference, 2007. {GLOBECOM} '07, Washington, DC, USA, 26-30 November 2007}, pages = {2117--2122}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/GLOCOM.2007.405}, doi = {10.1109/GLOCOM.2007.405}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/MukhopadhyaySD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZhaoD07, author = {Chong Zhao and Sujit Dey}, title = {Modeling soft error effects considering process variations}, booktitle = {25th International Conference on Computer Design, {ICCD} 2007, 7-10 October 2007, Lake Tahoe, CA, USA, Proceedings}, pages = {376--381}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICCD.2007.4601927}, doi = {10.1109/ICCD.2007.4601927}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZhaoD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/RamosD07, author = {Naomi Ramos and Sujit Dey}, title = {A Device and Network-Aware Scaling Framework for Efficient Delivery of Scalable Video over Wireless Networks}, booktitle = {Proceedings of the {IEEE} 18th International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2007, 3-7 September 2007, Athens, Greece}, pages = {1--5}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/PIMRC.2007.4394082}, doi = {10.1109/PIMRC.2007.4394082}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/RamosD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SekarLRD06, author = {Krishna Sekar and Kanishka Lahiri and Anand Raghunathan and Sujit Dey}, editor = {Georges G. E. Gielen}, title = {Integrated data relocation and bus reconfiguration for adaptive system-on-chip platforms}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {728--733}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244091}, doi = {10.1109/DATE.2006.244091}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SekarLRD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ChandraLRD06, author = {Saumya Chandra and Kanishka Lahiri and Anand Raghunathan and Sujit Dey}, editor = {Wolfgang Nebel and Mircea R. Stan and Anand Raghunathan and J{\"{o}}rg Henkel and Diana Marculescu}, title = {Considering process variations during system-level power analysis}, booktitle = {Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006}, pages = {342--345}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1165573.1165654}, doi = {10.1145/1165573.1165654}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/ChandraLRD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ZhaoD06, author = {Chong Zhao and Sujit Dey}, title = {Improving Transient Error Tolerance of Digital {VLSI} Circuits Using RObustness COmpiler {(ROCO)}}, booktitle = {7th International Symposium on Quality of Electronic Design {(ISQED} 2006), 27-29 March 2006, San Jose, CA, {USA}}, pages = {133--140}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISQED.2006.75}, doi = {10.1109/ISQED.2006.75}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/ZhaoD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZhaoD06, author = {Chong Zhao and Sujit Dey}, editor = {Scott Davidson and Anne Gattiker}, title = {Evaluating and Improving Transient Error Tolerance of {CMOS} Digital {VLSI} Circuits}, booktitle = {2006 {IEEE} International Test Conference, {ITC} 2006, Santa Clara, CA, USA, October 22-27, 2006}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/TEST.2006.297680}, doi = {10.1109/TEST.2006.297680}, timestamp = {Tue, 12 Dec 2023 09:46:27 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZhaoD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ZhaoDB05, author = {Chong Zhao and Sujit Dey and Xiaoliang Bai}, title = {Soft-Spot Analysis: Targeting Compound Noise Effects in Nanometer Circuits}, journal = {{IEEE} Des. Test Comput.}, volume = {22}, number = {4}, pages = {362--375}, year = {2005}, url = {https://doi.org/10.1109/MDT.2005.95}, doi = {10.1109/MDT.2005.95}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ZhaoDB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/RamosPD05, author = {Naomi Ramos and Debashis Panigrahi and Sujit Dey}, title = {Quality of service provisioning in 802.11e networks: challenges, approaches, and future directions}, journal = {{IEEE} Netw.}, volume = {19}, number = {4}, pages = {14--20}, year = {2005}, url = {https://doi.org/10.1109/MNET.2005.1470678}, doi = {10.1109/MNET.2005.1470678}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/network/RamosPD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhaoZD05, author = {Chong Zhao and Yi Zhao and Sujit Dey}, editor = {William H. Joyner Jr. and Grant Martin and Andrew B. Kahng}, title = {Constraint-aware robustness insertion for optimal noise-tolerance enhancement in {VLSI} circuits}, booktitle = {Proceedings of the 42nd Design Automation Conference, {DAC} 2005, San Diego, CA, USA, June 13-17, 2005}, pages = {190--195}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1065579.1065631}, doi = {10.1145/1065579.1065631}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhaoZD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SekarLRD05, author = {Krishna Sekar and Kanishka Lahiri and Anand Raghunathan and Sujit Dey}, editor = {William H. Joyner Jr. and Grant Martin and Andrew B. Kahng}, title = {{FLEXBUS:} a high-performance system-on-chip communication architecture with a dynamically configurable topology}, booktitle = {Proceedings of the 42nd Design Automation Conference, {DAC} 2005, San Diego, CA, USA, June 13-17, 2005}, pages = {571--574}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1065579.1065728}, doi = {10.1145/1065579.1065728}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SekarLRD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/ChandraD05, author = {Saumya Chandra and Sujit Dey}, editor = {Miguel Miranda and Soonhoi Ha}, title = {Addressing Computational and Networking Constraints to Enable Video Streaming from Wireless Appliances}, booktitle = {Proceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2005, September 22-23, 2005, New York Metropolitan Area, {USA}}, pages = {27--32}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ESTMED.2005.1518064}, doi = {10.1109/ESTMED.2005.1518064}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/ChandraD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZhaoBD05, author = {Chong Zhao and Xiaoliang Bai and Sujit Dey}, title = {A static noise impact analysis methodology for evaluating transient error effects in digital {VLSI} circuits}, booktitle = {Proceedings 2005 {IEEE} International Test Conference, {ITC} 2005, Austin, TX, USA, November 8-10, 2005}, pages = {10}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/TEST.2005.1584071}, doi = {10.1109/TEST.2005.1584071}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZhaoBD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/LeeD05, author = {Dong{-}Gi Lee and Sujit Dey}, title = {Dynamic end-to-end image adaptation for guaranteed quality of service in wireless image data services}, booktitle = {{IEEE} Wireless Communications and Networking Conference, {WCNC} 2005, March 13-17, 2005, New Orleans, Louisiana, {USA}}, pages = {2512--2518}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/WCNC.2005.1424909}, doi = {10.1109/WCNC.2005.1424909}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/LeeD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LakshminarayanaRKJD04, author = {Ganesh Lakshminarayana and Anand Raghunathan and Kamal S. Khouri and Niraj K. Jha and Sujit Dey}, title = {Common-case computation: a high-level energy and performance optimization technique}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {23}, number = {1}, pages = {33--49}, year = {2004}, url = {https://doi.org/10.1109/TCAD.2003.819893}, doi = {10.1109/TCAD.2003.819893}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LakshminarayanaRKJD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/WongPD04, author = {Jennifer L. Wong and Miodrag Potkonjak and Sujit Dey}, title = {Optimizing designs using the addition of deflection operations}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {23}, number = {1}, pages = {50--59}, year = {2004}, url = {https://doi.org/10.1109/TCAD.2003.819894}, doi = {10.1109/TCAD.2003.819894}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/WongPD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LahiriRLD04, author = {Kanishka Lahiri and Anand Raghunathan and Ganesh Lakshminarayana and Sujit Dey}, title = {Design of high-performance system-on-chips using communication architecture tuners}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {23}, number = {5}, pages = {620--636}, year = {2004}, url = {https://doi.org/10.1109/TCAD.2004.826585}, doi = {10.1109/TCAD.2004.826585}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LahiriRLD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LahiriRD04, author = {Kanishka Lahiri and Anand Raghunathan and Sujit Dey}, title = {Efficient power profiling for battery-driven embedded system design}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {23}, number = {6}, pages = {919--932}, year = {2004}, url = {https://doi.org/10.1109/TCAD.2004.828137}, doi = {10.1109/TCAD.2004.828137}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LahiriRD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LahiriRD04a, author = {Kanishka Lahiri and Anand Raghunathan and Sujit Dey}, title = {Design space exploration for optimizing on-chip communication architectures}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {23}, number = {6}, pages = {952--961}, year = {2004}, url = {https://doi.org/10.1109/TCAD.2004.828127}, doi = {10.1109/TCAD.2004.828127}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LahiriRD04a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/WangRJD04, author = {Weidong Wang and Anand Raghunathan and Niraj K. Jha and Sujit Dey}, title = {Resource budgeting for Multiprocess High-level synthesis}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {23}, number = {7}, pages = {1010--1019}, year = {2004}, url = {https://doi.org/10.1109/TCAD.2004.829806}, doi = {10.1109/TCAD.2004.829806}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/WangRJD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BaiCDS04, author = {Xiaoliang Bai and Rajit Chandra and Sujit Dey and P. V. Srinivas}, title = {Interconnect coupling-aware driver modeling in static noise analysis for nanometer circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {23}, number = {8}, pages = {1256--1263}, year = {2004}, url = {https://doi.org/10.1109/TCAD.2004.831568}, doi = {10.1109/TCAD.2004.831568}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BaiCDS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BaiD04, author = {Xiaoliang Bai and Sujit Dey}, title = {High-level crosstalk defect Simulation methodology for system-on-chip interconnects}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {23}, number = {9}, pages = {1355--1361}, year = {2004}, url = {https://doi.org/10.1109/TCAD.2004.833612}, doi = {10.1109/TCAD.2004.833612}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BaiD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ZhaoDC04, author = {Yi Zhao and Sujit Dey and Li Chen}, title = {Double sampling data checking technique: an online testing solution for multisource noise-induced errors on on-chip interconnects and buses}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {12}, number = {7}, pages = {746--755}, year = {2004}, url = {https://doi.org/10.1109/TVLSI.2004.826197}, doi = {10.1109/TVLSI.2004.826197}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ZhaoDC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhaoBD04, author = {Chong Zhao and Xiaoliang Bai and Sujit Dey}, editor = {Sharad Malik and Limor Fix and Andrew B. Kahng}, title = {A scalable soft spot analysis methodology for compound noise effects in nano-meter circuits}, booktitle = {Proceedings of the 41th Design Automation Conference, {DAC} 2004, San Diego, CA, USA, June 7-11, 2004}, pages = {894--899}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/996566.996804}, doi = {10.1145/996566.996804}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhaoBD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/TaylorD04, author = {Clark N. Taylor and Sujit Dey}, title = {{VSHAPER:} an efficient method of serving video streams shaped for diverse wireless communication conditions}, booktitle = {Proceedings of the Global Telecommunications Conference, 2004. {GLOBECOM} '04, Dallas, Texas, USA, 29 November - 3 December 2004}, pages = {4066--4070}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/GLOCOM.2004.1379130}, doi = {10.1109/GLOCOM.2004.1379130}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/TaylorD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/TaylorD04, author = {Clark N. Taylor and Sujit Dey}, title = {Run-time allocation of buffer resources for maximizing video clip quality in a wireless last-hop system}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2004, Paris, France, 20-24 June 2004}, pages = {3081--3085}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ICC.2004.1313098}, doi = {10.1109/ICC.2004.1313098}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/TaylorD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/PanigrahiD04, author = {Debashis Panigrahi and Sujit Dey}, title = {{CHASER:} content and channel aware object scheduling and error control for wireless Web access in 3G networks}, booktitle = {Proceedings of the {IEEE} 15th International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2004, 5-8 September 2004, Barcelona, Spain}, pages = {2119--2123}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/PIMRC.2004.1368372}, doi = {10.1109/PIMRC.2004.1368372}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/PanigrahiD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/LeeD04, author = {Dong{-}Gi Lee and Sujit Dey}, title = {Dynamic image adaptation technique and architecture to enhance server performance in wireless image services}, booktitle = {Proceedings of the {IEEE} 15th International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2004, 5-8 September 2004, Barcelona, Spain}, pages = {3030--3035}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/PIMRC.2004.1368876}, doi = {10.1109/PIMRC.2004.1368876}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/LeeD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/secon/MukhopadhyayPD04, author = {Shoubhik Mukhopadhyay and Debashis Panigrahi and Sujit Dey}, title = {Model based error correction for wireless sensor networks}, booktitle = {Proceedings of the First Annual {IEEE} Communications Society Conference on Sensor and Ad Hoc Communications and Networks, {SECON} 2004, October 4-7, 2004, Santa Clara, CA, {USA}}, pages = {575--584}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/SAHCN.2004.1381960}, doi = {10.1109/SAHCN.2004.1381960}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/secon/MukhopadhyayPD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/SekarLD04, author = {Krishna Sekar and Kanishka Lahiri and Sujit Dey}, title = {Configurable Platforms With Dynamic Platform Management: An Efficient Alternative to Application-Specific System-on-Chips}, booktitle = {17th International Conference on {VLSI} Design {(VLSI} Design 2004), with the 3rd International Conference on Embedded Systems Design, 5-9 January 2004, Mumbai, India}, pages = {307}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICVD.2004.1260942}, doi = {10.1109/ICVD.2004.1260942}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/SekarLD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/MukhopadhyayPD04, author = {Shoubhik Mukhopadhyay and Debashis Panigrahi and Sujit Dey}, title = {Data aware, low cost error correction for wireless sensor networks}, booktitle = {2004 {IEEE} Wireless Communications and Networking Conference , {WCNC} 2004, Atlanta, Georgia, USA, 21-25 March 2004}, pages = {2492--2497}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/WCNC.2004.1311480}, doi = {10.1109/WCNC.2004.1311480}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/MukhopadhyayPD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/SekarD03, author = {Krishna Sekar and Sujit Dey}, title = {{LI-BIST:} {A} Low-Cost Self-Test Scheme for SoC Logic Cores and Interconnects}, journal = {J. Electron. Test.}, volume = {19}, number = {2}, pages = {113--123}, year = {2003}, url = {https://doi.org/10.1023/A:1022877204378}, doi = {10.1023/A:1022877204378}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/SekarD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ZhaoD03, author = {Yi Zhao and Sujit Dey}, title = {Fault-coverage analysis techniques of crosstalk in chip interconnects}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {22}, number = {6}, pages = {770--782}, year = {2003}, url = {https://doi.org/10.1109/TCAD.2003.811444}, doi = {10.1109/TCAD.2003.811444}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ZhaoD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/RaghunathanDJ03, author = {Anand Raghunathan and Sujit Dey and Niraj K. Jha}, title = {High-level macro-modeling and estimation techniques for switching activity and power consumption}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {11}, number = {4}, pages = {538--557}, year = {2003}, url = {https://doi.org/10.1109/TVLSI.2003.812295}, doi = {10.1109/TVLSI.2003.812295}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/RaghunathanDJ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenRRD03, author = {Li Chen and Srivaths Ravi and Anand Raghunathan and Sujit Dey}, title = {A scalable software-based self-test methodology for programmable processors}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {548--553}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775973}, doi = {10.1145/775832.775973}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenRRD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/LeeD03, author = {Dong{-}Gi Lee and Sujit Dey}, editor = {Gerhard Fohler and Radu Marculescu}, title = {Addressing Server Latency and Capacity to Enable Fast and Affordable Wireless Image Data Services}, booktitle = {First Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2003, October 3-4, 2003, Newport Beach, California, USA, co-located with {CODES-ISSS} 2003, Proceedings}, pages = {40--47}, year = {2003}, timestamp = {Thu, 17 Feb 2022 09:36:08 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/LeeD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hldvt/BaiCD03, author = {Xiaoliang Bai and Li Chen and Sujit Dey}, title = {Software-based self-test methodology for crosstalk faults in processors}, booktitle = {Eighth {IEEE} International High-Level Design Validation and Test Workshop 2003, San Francisco, CA, USA, November 12-14, 2003}, pages = {11--16}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/HLDVT.2003.1252468}, doi = {10.1109/HLDVT.2003.1252468}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hldvt/BaiCD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SekarLD03, author = {Krishna Sekar and Kanishka Lahiri and Sujit Dey}, title = {Dynamic Platform Management for Configurable Platform-Based System-on-Chips}, booktitle = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003, San Jose, CA, USA, November 9-13, 2003}, pages = {641--649}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2003}, url = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257878}, doi = {10.1109/ICCAD.2003.1257878}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SekarLD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ZhaoD03, author = {Yi Zhao and Sujit Dey}, title = {Separate Dual-Transistor Registers - {A} Circuit Solution for On-line Testing of Transient Error in {UDSM-IC}}, booktitle = {9th {IEEE} International On-Line Testing Symposium {(IOLTS} 2003), 7-9 July 2003, Kos Island, Greece}, pages = {7--11}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/OLT.2003.1214359}, doi = {10.1109/OLT.2003.1214359}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ZhaoD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/BaiCDS03, author = {Xiaoliang Bai and Rajit Chandra and Sujit Dey and P. V. Srinivas}, title = {Noise-Aware Driver Modeling for Nanometer Technology}, booktitle = {4th International Symposium on Quality of Electronic Design {(ISQED} 2003), 24-26 March 2003, San Jose, CA, {USA}}, pages = {177--182}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISQED.2003.1194728}, doi = {10.1109/ISQED.2003.1194728}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/BaiCDS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BaiDK03, author = {Xiaoliang Bai and Sujit Dey and Angela Krstic}, title = {HyAC: {A} Hybrid Structural {SAT} Based {ATPG} for Crosstalk}, booktitle = {Proceedings 2003 International Test Conference {(ITC} 2003), Breaking Test Interface Bottlenecks, 28 September - 3 October 2003, Charlotte, NC, {USA}}, pages = {112--121}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/TEST.2003.1270831}, doi = {10.1109/TEST.2003.1270831}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/BaiDK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/WangJRD03, author = {Weidong Wang and Niraj K. Jha and Anand Raghunathan and Sujit Dey}, title = {High-level Synthesis of Multi-process Behavioral Descriptions}, booktitle = {16th International Conference on {VLSI} Design {(VLSI} Design 2003), 4-8 January 2003, New Delhi, India}, pages = {467--473}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ICVD.2003.1183178}, doi = {10.1109/ICVD.2003.1183178}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/WangJRD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KrsticLCCD02, author = {Angela Krstic and Wei{-}Cheng Lai and Kwang{-}Ting Cheng and Li Chen and Sujit Dey}, title = {Embedded Software-Based Self-Test for Programmable Core-Based Designs}, journal = {{IEEE} Des. Test Comput.}, volume = {19}, number = {4}, pages = {18--27}, year = {2002}, url = {https://doi.org/10.1109/MDT.2002.1018130}, doi = {10.1109/MDT.2002.1018130}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/KrsticLCCD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LahiriDR02, author = {Kanishka Lahiri and Sujit Dey and Anand Raghunathan}, title = {Communication-Based Power Management}, journal = {{IEEE} Des. Test Comput.}, volume = {19}, number = {4}, pages = {118--130}, year = {2002}, url = {https://doi.org/10.1109/MDT.2002.1018140}, doi = {10.1109/MDT.2002.1018140}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LahiriDR02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/ChenBD02, author = {Li Chen and Xiaoliang Bai and Sujit Dey}, title = {Testing for Interconnect Crosstalk Defects Using On-Chip Embedded Processor Cores}, journal = {J. Electron. Test.}, volume = {18}, number = {4-5}, pages = {529--538}, year = {2002}, url = {https://doi.org/10.1023/A:1016562011549}, doi = {10.1023/A:1016562011549}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/ChenBD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KarimND02, author = {Faraydon Karim and Anh Nguyen and Sujit Dey}, title = {An Interconnect Architecture for Networking Systems on Chips}, journal = {{IEEE} Micro}, volume = {22}, number = {5}, pages = {36--45}, year = {2002}, url = {https://doi.org/10.1109/MM.2002.1044298}, doi = {10.1109/MM.2002.1044298}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/KarimND02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LajoloRDL02, author = {Marcello Lajolo and Anand Raghunathan and Sujit Dey and Luciano Lavagno}, title = {Cosimulation-based power estimation for system-on-chip design}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {10}, number = {3}, pages = {253--266}, year = {2002}, url = {https://doi.org/10.1109/TVLSI.2002.1043328}, doi = {10.1109/TVLSI.2002.1043328}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/LajoloRDL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/LahiriRD02, author = {Kanishka Lahiri and Anand Raghunathan and Sujit Dey}, editor = {J{\"{o}}rg Henkel and Xiaobo Sharon Hu and Rajesh Gupta and Sri Parameswaran}, title = {Fast system-level power profiling for battery-efficient system design}, booktitle = {Proceedings of the Tenth International Symposium on Hardware/Software Codesign, {CODES} 2002, Estes Park, Colorado, USA, May 6-8, 2002}, pages = {157--162}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/774789.774822}, doi = {10.1145/774789.774822}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/LahiriRD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenD02, author = {Li Chen and Sujit Dey}, title = {Software-based diagnosis for processors}, booktitle = {Proceedings of the 39th Design Automation Conference, {DAC} 2002, New Orleans, LA, USA, June 10-14, 2002}, pages = {259--262}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/513918.513986}, doi = {10.1145/513918.513986}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KrsticLCCD02, author = {Angela Krstic and Wei{-}Cheng Lai and Kwang{-}Ting Cheng and Li Chen and Sujit Dey}, title = {Embedded software-based self-testing for SoC design}, booktitle = {Proceedings of the 39th Design Automation Conference, {DAC} 2002, New Orleans, LA, USA, June 10-14, 2002}, pages = {355--360}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/513918.514010}, doi = {10.1145/513918.514010}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KrsticLCCD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LahiriDR02, author = {Kanishka Lahiri and Sujit Dey and Anand Raghunathan}, title = {Communication architecture based power management for battery efficient system design}, booktitle = {Proceedings of the 39th Design Automation Conference, {DAC} 2002, New Orleans, LA, USA, June 10-14, 2002}, pages = {691--696}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/513918.514094}, doi = {10.1145/513918.514094}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LahiriDR02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/LahiriRD02, author = {Kanishka Lahiri and Anand Raghunathan and Sujit Dey}, title = {Battery-efficient architecture for an 802.11 {MAC} processor}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2002, April 28 - May 2, 2002, New York City, NY, {USA}}, pages = {669--674}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ICC.2002.996941}, doi = {10.1109/ICC.2002.996941}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/LahiriRD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/LeeD02, author = {Dong{-}Gi Lee and Sujit Dey}, title = {Adaptive and energy efficient wavelet image compression for mobile multimedia data services}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2002, April 28 - May 2, 2002, New York City, NY, {USA}}, pages = {2484--2490}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ICC.2002.997290}, doi = {10.1109/ICC.2002.997290}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/LeeD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZhaoCD02, author = {Yi Zhao and Li Chen and Sujit Dey}, title = {On-Line Testing of Multi-Source Noise-Induced Errors on the Interconnects and Buses of System-on-Chips}, booktitle = {Proceedings {IEEE} International Test Conference 2002, Baltimore, MD, USA, October 7-10, 2002}, pages = {491--499}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/TEST.2002.1041799}, doi = {10.1109/TEST.2002.1041799}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZhaoCD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/TaylorPD02, author = {Clark N. Taylor and Debashis Panigrahi and Sujit Dey}, editor = {Ed F. Deprettere and J{\"{u}}rgen Teich and Stamatis Vassiliadis}, title = {Design of an Adaptive Architecture for Energy Efficient Wireless Image Communication}, booktitle = {Embedded Processor Design Challenges: Systems, Architectures, Modeling, and Simulation - {SAMOS}}, series = {Lecture Notes in Computer Science}, volume = {2268}, pages = {260--273}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45874-3\_15}, doi = {10.1007/3-540-45874-3\_15}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/samos/TaylorPD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/LavagnoDG02, author = {Luciano Lavagno and Sujit Dey and Rajesh K. Gupta}, title = {Specification, Modeling and Design Tools for System-on-Chip (Tutorial Abstract)}, booktitle = {Proceedings of the 7th Asia and South Pacific Design Automation Conference {(ASP-DAC} 2002), and the 15th International Conference on {VLSI} Design {(VLSI} Design 2002), Bangalore, India, January 7-11, 2002}, pages = {21--23}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ASPDAC.2002.994878}, doi = {10.1109/ASPDAC.2002.994878}, timestamp = {Mon, 14 Nov 2022 15:28:09 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/LavagnoDG02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/LahiriRDP02, author = {Kanishka Lahiri and Anand Raghunathan and Sujit Dey and Debashis Panigrahi}, title = {Embedded Tutorial: Battery-Driven System Design: {A} New Frontier in Low Power Design}, booktitle = {Proceedings of the 7th Asia and South Pacific Design Automation Conference {(ASP-DAC} 2002), and the 15th International Conference on {VLSI} Design {(VLSI} Design 2002), Bangalore, India, January 7-11, 2002}, pages = {261--267}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ASPDAC.2002.994932}, doi = {10.1109/ASPDAC.2002.994932}, timestamp = {Mon, 14 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/LahiriRDP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/PanigrahiTD02, author = {Debashis Panigrahi and Clark N. Taylor and Sujit Dey}, title = {A Hardware/Software Reconfigurable Architecture for Adaptive Wireless Image Communication}, booktitle = {Proceedings of the 7th Asia and South Pacific Design Automation Conference {(ASP-DAC} 2002), and the 15th International Conference on {VLSI} Design {(VLSI} Design 2002), Bangalore, India, January 7-11, 2002}, pages = {553}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ASPDAC.2002.994979}, doi = {10.1109/ASPDAC.2002.994979}, timestamp = {Mon, 14 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/PanigrahiTD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/ChiaDKKK02, author = {C.{-}H. Chia and Sujit Dey and Faraydon Karim and Haluk Konuk and Keesup Kim}, title = {Validation and Test of Network Processors and ASICs}, booktitle = {20th {IEEE} {VLSI} Test Symposium {(VTS} 2002), Without Testing It's a Gamble, 28 April - 2 May 2002, Monterey, CA, {USA}}, pages = {407--410}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/VTS.2002.1011172}, doi = {10.1109/VTS.2002.1011172}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/ChiaDKKK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/SekarD02, author = {Krishna Sekar and Sujit Dey}, title = {{LI-BIST:} {A} Low-Cost Self-Test Scheme for SoC Logic Cores and Interconnects}, booktitle = {20th {IEEE} {VLSI} Test Symposium {(VTS} 2002), Without Testing It's a Gamble, 28 April - 2 May 2002, Monterey, CA, {USA}}, pages = {417--422}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/VTS.2002.1011174}, doi = {10.1109/VTS.2002.1011174}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/SekarD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChenD01, author = {Li Chen and Sujit Dey}, title = {Software-based self-testing methodology for processor cores}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {20}, number = {3}, pages = {369--380}, year = {2001}, url = {https://doi.org/10.1109/43.913755}, doi = {10.1109/43.913755}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChenD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LahiriRD01, author = {Kanishka Lahiri and Anand Raghunathan and Sujit Dey}, title = {System-level performance analysis for designing on-chipcommunication architectures}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {20}, number = {6}, pages = {768--783}, year = {2001}, url = {https://doi.org/10.1109/43.924830}, doi = {10.1109/43.924830}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LahiriRD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenBD01, author = {Li Chen and Xiaoliang Bai and Sujit Dey}, title = {Testing for Interconnect Crosstalk Defects Using On-Chip Embedded Processor Cores}, booktitle = {Proceedings of the 38th Design Automation Conference, {DAC} 2001, Las Vegas, NV, USA, June 18-22, 2001}, pages = {317--320}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/378239.378498}, doi = {10.1145/378239.378498}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenBD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KarimNDR01, author = {Faraydon Karim and Anh Nguyen and Sujit Dey and Ramesh R. Rao}, title = {On-Chip Communication Architecture for {OC-768} Network Processors}, booktitle = {Proceedings of the 38th Design Automation Conference, {DAC} 2001, Las Vegas, NV, USA, June 18-22, 2001}, pages = {678--683}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/378239.379047}, doi = {10.1145/378239.379047}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KarimNDR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TaylorDZ01, author = {Clark N. Taylor and Sujit Dey and Yi Zhao}, title = {Modeling and Minimization of Interconnect Energy Dissipation in Nanometer Technologies}, booktitle = {Proceedings of the 38th Design Automation Conference, {DAC} 2001, Las Vegas, NV, USA, June 18-22, 2001}, pages = {754--757}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/378239.379060}, doi = {10.1145/378239.379060}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/TaylorDZ01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/TaylorD01, author = {Clark N. Taylor and Sujit Dey}, title = {Adaptive image compression for wireless multimedia communication}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2001, June 11-14, Helsinki, Finland}, pages = {1925--1929}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ICC.2001.937125}, doi = {10.1109/ICC.2001.937125}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/TaylorD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/RaghunathanD01, author = {Anand Raghunathan and Sujit Dey}, title = {Low-Power Mobile Wireless Communication System Design: Protocols, Architectures, and Design Methodologies}, booktitle = {14th International Conference on {VLSI} Design {(VLSI} Design 2001), 3-7 January 2001, Bangalore, India}, pages = {9--10}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.ieeecomputersociety.org/10.1109/VLSID.2001.10011}, doi = {10.1109/VLSID.2001.10011}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/RaghunathanD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/LahiriDR01, author = {Kanishka Lahiri and Sujit Dey and Anand Raghunathan}, title = {Evaluation of the Traffic-Performance Characteristics of System-on-Chip Communication Architectures}, booktitle = {14th International Conference on {VLSI} Design {(VLSI} Design 2001), 3-7 January 2001, Bangalore, India}, pages = {29--35}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICVD.2001.902636}, doi = {10.1109/ICVD.2001.902636}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/LahiriDR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/PanigrahiDRLCR01, author = {Debashis Panigrahi and Sujit Dey and Ramesh R. Rao and Kanishka Lahiri and Carla{-}Fabiana Chiasserini and Anand Raghunathan}, title = {Battery Life Estimation of Mobile Embedded Systems}, booktitle = {14th International Conference on {VLSI} Design {(VLSI} Design 2001), 3-7 January 2001, Bangalore, India}, pages = {57--63}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICVD.2001.902640}, doi = {10.1109/ICVD.2001.902640}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/PanigrahiDRLCR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/BaiD01, author = {Xiaoliang Bai and Sujit Dey}, title = {High-level Crosstalk Defect Simulation for System-on-Chip Interconnects}, booktitle = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA, {USA}}, pages = {169--177}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/VTS.2001.923435}, doi = {10.1109/VTS.2001.923435}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/BaiD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DeyPCTSS00, author = {Sujit Dey and Debashis Panigrahi and Li Chen and Clark N. Taylor and Krishna Sekar and Pablo Sanchez}, title = {Using a Soft Core in a SoC Design: Experiences with picoJava}, journal = {{IEEE} Des. Test Comput.}, volume = {17}, number = {3}, pages = {60--71}, year = {2000}, url = {https://doi.org/10.1109/54.867896}, doi = {10.1109/54.867896}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/DeyPCTSS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/GhoshDJ00, author = {Indradeep Ghosh and Sujit Dey and Niraj K. Jha}, title = {A fast and low-cost testing technique for core-based system-chips}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {19}, number = {8}, pages = {863--877}, year = {2000}, url = {https://doi.org/10.1109/43.856974}, doi = {10.1109/43.856974}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/GhoshDJ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChuengDRR00, author = {Kwang{-}Ting Cheng and Sujit Dey and Mike Rodgers and Kaushik Roy}, editor = {Giovanni De Micheli}, title = {Test challenges for deep sub-micron technologies}, booktitle = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000}, pages = {142--149}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/337292.337353}, doi = {10.1145/337292.337353}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChuengDRR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LahiriRLD00, author = {Kanishka Lahiri and Anand Raghunathan and Ganesh Lakshminarayana and Sujit Dey}, editor = {Giovanni De Micheli}, title = {Communication architecture tuners: a methodology for the design of high-performance communication architectures for systems-on-chips}, booktitle = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000}, pages = {513--518}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/337292.337561}, doi = {10.1145/337292.337561}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LahiriRLD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BaiDR00, author = {Xiaoliang Bai and Sujit Dey and Janusz Rajski}, editor = {Giovanni De Micheli}, title = {Self-test methodology for at-speed test of crosstalk in chip interconnects}, booktitle = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000}, pages = {619--624}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/337292.337597}, doi = {10.1145/337292.337597}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BaiDR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenDSSC00, author = {Li Chen and Sujit Dey and Pablo Sanchez and Krishna Sekar and Ying Cheng}, editor = {Giovanni De Micheli}, title = {Embedded hardware and software self-testing methodologies for processor cores}, booktitle = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000}, pages = {625--630}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/337292.337599}, doi = {10.1145/337292.337599}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenDSSC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LajoloRDL00, author = {Marcello Lajolo and Anand Raghunathan and Sujit Dey and Luciano Lavagno}, editor = {Ivo Bolsens}, title = {Efficient Power Co-Estimation Techniques for System-on-Chip Design}, booktitle = {2000 Design, Automation and Test in Europe {(DATE} 2000), 27-30 March 2000, Paris, France}, pages = {27--34}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2000}, url = {https://doi.org/10.1109/DATE.2000.840011}, doi = {10.1109/DATE.2000.840011}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LajoloRDL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hldvt/PanigrahiTD00, author = {Debashis Panigrahi and Clark N. Taylor and Sujit Dey}, title = {Interface based hardware/software validation of a system-on-chip}, booktitle = {Proceedings of the {IEEE} International High-Level Design Validation and Test Workshop 2000, Berkeley, California, USA, November 8-10, 2000}, pages = {53--58}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/HLDVT.2000.889559}, doi = {10.1109/HLDVT.2000.889559}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hldvt/PanigrahiTD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZorianDR00, author = {Yervant Zorian and Sujit Dey and Mike Rodgers}, editor = {Ellen Sentovich}, title = {Test of Future System-on-Chips}, booktitle = {Proceedings of the 2000 {IEEE/ACM} International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000}, pages = {392--398}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICCAD.2000.896504}, doi = {10.1109/ICCAD.2000.896504}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZorianDR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LahiriRD00, author = {Kanishka Lahiri and Anand Raghunathan and Sujit Dey}, editor = {Ellen Sentovich}, title = {Efficient Exploration of the SoC Communication Architecture Design Space}, booktitle = {Proceedings of the 2000 {IEEE/ACM} International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000}, pages = {424--430}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICCAD.2000.896509}, doi = {10.1109/ICCAD.2000.896509}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LahiriRD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZhaoD00, author = {Yi Zhao and Sujit Dey}, title = {Analysis of interconnect crosstalk defect coverage of test sets}, booktitle = {Proceedings {IEEE} International Test Conference 2000, Atlantic City, NJ, USA, October 2000}, pages = {492--501}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/TEST.2000.894242}, doi = {10.1109/TEST.2000.894242}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZhaoD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/LahiriDR00, author = {Kanishka Lahiri and Sujit Dey and Anand Raghunathan}, title = {Performance Analysis of Systems with Multi-Channel Communication Architectures}, booktitle = {13th International Conference on {VLSI} Design {(VLSI} Design 2000), 4-7 January 2000, Calcutta, India}, pages = {530--537}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICVD.2000.812662}, doi = {10.1109/ICVD.2000.812662}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/LahiriDR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/ChenD00, author = {Li Chen and Sujit Dey}, title = {{DEFUSE:} {A} Deterministic Functional Self-Test Methodology for Processors}, booktitle = {18th {IEEE} {VLSI} Test Symposium {(VTS} 2000), 30 April - 4 May 2000, Montreal, Canada}, pages = {255--262}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/VTEST.2000.843853}, doi = {10.1109/VTEST.2000.843853}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/ChenD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/ZorianMD99, author = {Yervant Zorian and Erik Jan Marinissen and Sujit Dey}, title = {Testing Embedded-Core-Based System Chips}, journal = {Computer}, volume = {32}, number = {6}, pages = {52--60}, year = {1999}, url = {https://doi.org/10.1109/2.769444}, doi = {10.1109/2.769444}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/computer/ZorianMD99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChakradharD99, author = {Srimat T. Chakradhar and Sujit Dey}, title = {Resynthesis and retiming for optimum partial scan}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {18}, number = {5}, pages = {621--630}, year = {1999}, url = {https://doi.org/10.1109/43.759078}, doi = {10.1109/43.759078}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChakradharD99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/RaghunathanDJ99, author = {Anand Raghunathan and Sujit Dey and Niraj K. Jha}, title = {Register transfer level power optimization with emphasis on glitch analysis and reduction}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {18}, number = {8}, pages = {1114--1131}, year = {1999}, url = {https://doi.org/10.1109/43.775632}, doi = {10.1109/43.775632}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/RaghunathanDJ99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/DeyRJW99, author = {Sujit Dey and Anand Raghunathan and Niraj K. Jha and Kazutoshi Wakabayashi}, title = {Controller-based power management for control-flow intensive designs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {18}, number = {10}, pages = {1496--1508}, year = {1999}, url = {https://doi.org/10.1109/43.790626}, doi = {10.1109/43.790626}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/DeyRJW99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/GhoshJD99, author = {Indradeep Ghosh and Niraj K. Jha and Sujit Dey}, title = {A low overhead design for testability and test generation technique for core-based systems-on-a-chip}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {18}, number = {11}, pages = {1661--1676}, year = {1999}, url = {https://doi.org/10.1109/43.806811}, doi = {10.1109/43.806811}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/GhoshJD99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LakshminarayanaRJD99, author = {Ganesh Lakshminarayana and Anand Raghunathan and Niraj K. Jha and Sujit Dey}, title = {Power management in high-level synthesis}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {7}, number = {1}, pages = {7--15}, year = {1999}, url = {https://doi.org/10.1109/92.748195}, doi = {10.1109/92.748195}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/LakshminarayanaRJD99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LakshminarayanaRKJD99, author = {Ganesh Lakshminarayana and Anand Raghunathan and Kamal S. Khouri and Niraj K. Jha and Sujit Dey}, editor = {Mary Jane Irwin}, title = {Common-Case Computation: {A} High-Level Technique for Power and Performance Optimization}, booktitle = {Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999}, pages = {56--61}, publisher = {{ACM} Press}, year = {1999}, url = {https://doi.org/10.1145/309847.309867}, doi = {10.1145/309847.309867}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LakshminarayanaRKJD99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CuvielloDBZ99, author = {Michael Cuviello and Sujit Dey and Xiaoliang Bai and Yi Zhao}, editor = {Jacob K. White and Ellen Sentovich}, title = {Fault modeling and simulation for crosstalk in system-on-chip interconnects}, booktitle = {Proceedings of the 1999 {IEEE/ACM} International Conference on Computer-Aided Design, 1999, San Jose, California, USA, November 7-11, 1999}, pages = {297--303}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICCAD.1999.810665}, doi = {10.1109/ICCAD.1999.810665}, timestamp = {Mon, 08 May 2023 21:43:38 +0200}, biburl = {https://dblp.org/rec/conf/iccad/CuvielloDBZ99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LahiriRD99, author = {Kanishka Lahiri and Anand Raghunathan and Sujit Dey}, editor = {Jacob K. White and Ellen Sentovich}, title = {Fast performance analysis of bus-based system-on-chip communication architectures}, booktitle = {Proceedings of the 1999 {IEEE/ACM} International Conference on Computer-Aided Design, 1999, San Jose, California, USA, November 7-11, 1999}, pages = {566--573}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICCAD.1999.810712}, doi = {10.1109/ICCAD.1999.810712}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LahiriRD99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/RoyRD99, author = {Kaushik Roy and Anand Raghunathan and Sujit Dey}, title = {Low Power Design Methodologies for Systems-on-Chips}, booktitle = {12th International Conference on {VLSI} Design {(VLSI} Design 1999), 10-13 January 1999, Goa, India}, pages = {609}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.ieeecomputersociety.org/10.1109/VLSID.1999.10012}, doi = {10.1109/VLSID.1999.10012}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/RoyRD99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/daglib/0029054, author = {Anand Raghunathan and Niraj K. Jha and Sujit Dey}, title = {High-Level Power Analysis and Optimization}, publisher = {Kluwer}, year = {1998}, url = {http://www.springer.com/engineering/circuits+\%26+systems/book/978-0-7923-8073-3?changeHeader}, isbn = {978-0-7923-8073-3}, timestamp = {Tue, 04 Sep 2012 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/daglib/0029054.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/DeyRW98, author = {Sujit Dey and Anand Raghunathan and Kenneth D. Wagner}, title = {Design for Testability Techniques at the Behavioral and Register-Transfer Levels}, journal = {J. Electron. Test.}, volume = {13}, number = {2}, pages = {79--91}, year = {1998}, url = {https://doi.org/10.1023/A:1008397519162}, doi = {10.1023/A:1008397519162}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/DeyRW98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/RaviGRD98, author = {Srivaths Ravi and Indradeep Ghosh and Rabindra K. Roy and Sujit Dey}, title = {Controller Resynthesis for Testability Enhancement of {RTL} Controller/Data Path Circuits}, journal = {J. Electron. Test.}, volume = {13}, number = {2}, pages = {201--212}, year = {1998}, url = {https://doi.org/10.1023/A:1008314022796}, doi = {10.1023/A:1008314022796}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/et/RaviGRD98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/DeyGP98, author = {Sujit Dey and Vijay Gangaram and Miodrag Potkonjak}, title = {A controller redesign technique to enhance testability of controller-data path circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {17}, number = {2}, pages = {157--168}, year = {1998}, url = {https://doi.org/10.1109/43.681265}, doi = {10.1109/43.681265}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/DeyGP98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/BhattacharyaDB98, author = {Subhrajit Bhattacharya and Sujit Dey and Franc Brglez}, title = {Effects of resource sharing on circuit delay: an assignment algorithm for clock period optimization}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {3}, number = {2}, pages = {285--307}, year = {1998}, url = {https://doi.org/10.1145/290833.290852}, doi = {10.1145/290833.290852}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/BhattacharyaDB98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/DeyRR98, author = {Sujit Dey and Anand Raghunathan and Rabindra K. Roy}, title = {Considering Testability during High-level Design (Embedded Tutorial)}, booktitle = {Proceedings of the {ASP-DAC} '98, Asia and South Pacific Design Automation Conference 1998, Pacifico Yokohama, Yokohama, Japan, February 10-13, 1998}, pages = {205--210}, publisher = {{IEEE}}, year = {1998}, url = {https://doi.org/10.1109/ASPDAC.1998.669447}, doi = {10.1109/ASPDAC.1998.669447}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/DeyRR98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/LajoloRDLS98, author = {Marcello Lajolo and Anand Raghunathan and Sujit Dey and Luciano Lavagno and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Gaetano Borriello and Ahmed Amine Jerraya and Luciano Lavagno}, title = {A case study on modeling shared memory access effects during performance analysis of {HW/SW} systems}, booktitle = {Proceedings of the Sixth International Workshop on Hardware/Software Codesign, {CODES} 1998, Seattle, Washington, USA, March 15-18, 1998}, pages = {117--121}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1145/278241.278317}, doi = {10.1145/278241.278317}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codes/LajoloRDLS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GhoshDJ98, author = {Indradeep Ghosh and Sujit Dey and Niraj K. Jha}, editor = {Basant R. Chawla and Randal E. Bryant and Jan M. Rabaey}, title = {A Fast and Low Cost Testing Technique for Core-Based System-on-Chip}, booktitle = {Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998}, pages = {542--547}, publisher = {{ACM} Press}, year = {1998}, url = {https://doi.org/10.1145/277044.277190}, doi = {10.1145/277044.277190}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GhoshDJ98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DeyAZ98, author = {Sujit Dey and Jacob A. Abraham and Yervant Zorian}, editor = {Hiroto Yasuura}, title = {High-level design validation and test}, booktitle = {Proceedings of the 1998 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1998, San Jose, CA, USA, November 8-12, 1998}, pages = {3}, publisher = {{ACM} / {IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1145/288548.288550}, doi = {10.1145/288548.288550}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DeyAZ98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LakshminarayanaRJD98, author = {Ganesh Lakshminarayana and Anand Raghunathan and Niraj K. Jha and Sujit Dey}, editor = {Hiroto Yasuura}, title = {Transforming control-flow intensive designs to facilitate power management}, booktitle = {Proceedings of the 1998 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1998, San Jose, CA, USA, November 8-12, 1998}, pages = {657--664}, publisher = {{ACM} / {IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1145/288548.289107}, doi = {10.1145/288548.289107}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LakshminarayanaRJD98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZorianMD98, author = {Yervant Zorian and Erik Jan Marinissen and Sujit Dey}, title = {Testing embedded-core based system chips}, booktitle = {Proceedings {IEEE} International Test Conference 1998, Washington, DC, USA, October 18-22, 1998}, pages = {130--143}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/TEST.1998.743146}, doi = {10.1109/TEST.1998.743146}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZorianMD98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/LakshminarayanaRJD98, author = {Ganesh Lakshminarayana and Anand Raghunathan and Niraj K. Jha and Sujit Dey}, title = {A Power Management Methodology for High-Level Synthesis}, booktitle = {11th International Conference on {VLSI} Design {(VLSI} Design 1991), 4-7 January 1998, Chennai, India}, pages = {24--19}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ICVD.1998.646573}, doi = {10.1109/ICVD.1998.646573}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/LakshminarayanaRJD98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/RaviGRD98, author = {Srivaths Ravi and Indradeep Ghosh and Rabindra K. Roy and Sujit Dey}, title = {Controller Resynthesis for Testability Enhancement of {RTL} Controller/Data path Circuits}, booktitle = {11th International Conference on {VLSI} Design {(VLSI} Design 1991), 4-7 January 1998, Chennai, India}, pages = {193--198}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ICVD.1998.646601}, doi = {10.1109/ICVD.1998.646601}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/RaviGRD98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/DeyP97, author = {Sujit Dey and Miodrag Potkonjak}, title = {Nonscan design-for-testability techniques using RT-level design information}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {16}, number = {12}, pages = {1488--1506}, year = {1997}, url = {https://doi.org/10.1109/43.664230}, doi = {10.1109/43.664230}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/DeyP97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RaghunathanDJW97, author = {Anand Raghunathan and Sujit Dey and Niraj K. Jha and Kazutoshi Wakabayashi}, editor = {Ellen J. Yoffa and Giovanni De Micheli and Jan M. Rabaey}, title = {Power Management Techniques for Control-Flow Intensive Designs}, booktitle = {Proceedings of the 34st Conference on Design Automation, Anaheim, California, USA, Anaheim Convention Center, June 9-13, 1997}, pages = {429--434}, publisher = {{ACM} Press}, year = {1997}, url = {https://doi.org/10.1145/266021.266191}, doi = {10.1145/266021.266191}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/RaghunathanDJW97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BhattacharyaDS97, author = {Subhrajit Bhattacharya and Sujit Dey and Bhaskar Sengupta}, title = {An {RTL} methodology to enable low overhead combinational testing}, booktitle = {European Design and Test Conference, ED{\&}TC '97, Paris, France, 17-20 March 1997}, pages = {146--152}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/EDTC.1997.582349}, doi = {10.1109/EDTC.1997.582349}, timestamp = {Fri, 20 May 2022 15:59:03 +0200}, biburl = {https://dblp.org/rec/conf/date/BhattacharyaDS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DeyB97, author = {Sujit Dey and Surendra Bommu}, editor = {Ralph H. J. M. Otten and Hiroto Yasuura}, title = {Performance analysis of a system of communicating processes}, booktitle = {Proceedings of the 1997 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1997, San Jose, CA, USA, November 9-13, 1997}, pages = {590--597}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1997}, url = {https://doi.org/10.1109/ICCAD.1997.643599}, doi = {10.1109/ICCAD.1997.643599}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DeyB97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GhoshJD97, author = {Indradeep Ghosh and Niraj K. Jha and Sujit Dey}, title = {A Low-Overhead Design for Testability and Test Generation Technique for Core-Based Systems}, booktitle = {Proceedings {IEEE} International Test Conference 1997, Washington, DC, USA, November 3-5, 1997}, pages = {50--59}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/TEST.1997.639593}, doi = {10.1109/TEST.1997.639593}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GhoshJD97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AsakaYBD97, author = {Toshiharu Asaka and Masaaki Yoshida and Subhrajit Bhattacharya and Sujit Dey}, title = {{H-SCAN+:} {A} Practical Low-Overhead {RTL} Design-for-Testability Technique for Industrial Designs}, booktitle = {Proceedings {IEEE} International Test Conference 1997, Washington, DC, USA, November 3-5, 1997}, pages = {265--274}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/TEST.1997.639622}, doi = {10.1109/TEST.1997.639622}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AsakaYBD97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BhattacharyaDB96, author = {Subhrajit Bhattacharya and Sujit Dey and Franc Brglez}, title = {Fast true delay estimation during high level synthesis}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {15}, number = {9}, pages = {1088--1105}, year = {1996}, url = {https://doi.org/10.1109/43.536715}, doi = {10.1109/43.536715}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BhattacharyaDB96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WagnerD96, author = {Kenneth D. Wagner and Sujit Dey}, editor = {Thomas Pennino and Ellen J. Yoffa}, title = {High-Level Synthesis for Testability: {A} Survey and Perspective}, booktitle = {Proceedings of the 33st Conference on Design Automation, Las Vegas, Nevada, USA, Las Vegas Convention Center, June 3-7, 1996}, pages = {131--136}, publisher = {{ACM} Press}, year = {1996}, url = {https://doi.org/10.1145/240518.240543}, doi = {10.1145/240518.240543}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WagnerD96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RaghunathanDJ96, author = {Anand Raghunathan and Sujit Dey and Niraj K. Jha}, editor = {Thomas Pennino and Ellen J. Yoffa}, title = {Glitch Analysis and Reduction in Register Transfer Level}, booktitle = {Proceedings of the 33st Conference on Design Automation, Las Vegas, Nevada, USA, Las Vegas Convention Center, June 3-7, 1996}, pages = {331--336}, publisher = {{ACM} Press}, year = {1996}, url = {https://doi.org/10.1145/240518.240581}, doi = {10.1145/240518.240581}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/RaghunathanDJ96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RaghunathanDJ96, author = {Anand Raghunathan and Sujit Dey and Niraj K. Jha}, editor = {Rob A. Rutenbar and Ralph H. J. M. Otten}, title = {Register-transfer level estimation techniques for switching activity and power consumption}, booktitle = {Proceedings of the 1996 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1996, San Jose, CA, USA, November 10-14, 1996}, pages = {158--165}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1996}, url = {https://doi.org/10.1109/ICCAD.1996.569539}, doi = {10.1109/ICCAD.1996.569539}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RaghunathanDJ96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/RaghunathanDJW96, author = {Anand Raghunathan and Sujit Dey and Niraj K. Jha and Kazutoshi Wakabayashi}, editor = {Mark Horowitz and Jan M. Rabaey and Brock Barton and Massoud Pedram}, title = {Controller re-specification to minimize switching activity in controller/data path circuits}, booktitle = {Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996, Monterey, California, USA, August 12-14, 1996}, pages = {301--304}, publisher = {{IEEE}}, year = {1996}, url = {https://doi.org/10.1109/LPE.1996.547528}, doi = {10.1109/LPE.1996.547528}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/islped/RaghunathanDJW96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/BhattacharyaD96, author = {Subhrajit Bhattacharya and Sujit Dey}, title = {{H-SCAN:} {A} high level alternative to full-scan testing with reduced area and test application overheads}, booktitle = {14th {IEEE} {VLSI} Test Symposium (VTS'96), April 28 - May 1, 1996, Princeton, NJ, {USA}}, pages = {74--80}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/VTEST.1996.510838}, doi = {10.1109/VTEST.1996.510838}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/BhattacharyaD96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/DeyC95, author = {Sujit Dey and Srimat T. Chakradhar}, title = {Design of testable sequential circuits by repositioning flip-flops}, journal = {J. Electron. Test.}, volume = {7}, number = {1-2}, pages = {105--114}, year = {1995}, url = {https://doi.org/10.1007/BF00993318}, doi = {10.1007/BF00993318}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/DeyC95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/PotkonjakDR95, author = {Miodrag Potkonjak and Sujit Dey and Rabindra K. Roy}, title = {Considering testability at behavioral level: use of transformations for partial scan cost minimization under timing and area constraints}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {14}, number = {5}, pages = {531--546}, year = {1995}, url = {https://doi.org/10.1109/43.384414}, doi = {10.1109/43.384414}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/PotkonjakDR95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/AsharDM95, author = {Pranav Ashar and Sujit Dey and Sharad Malik}, title = {Exploiting multicycle false paths in the performance optimization of sequential logic circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {14}, number = {9}, pages = {1067--1075}, year = {1995}, url = {https://doi.org/10.1109/43.406708}, doi = {10.1109/43.406708}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/AsharDM95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/PotkonjakDR95a, author = {Miodrag Potkonjak and Sujit Dey and Rabindra K. Roy}, title = {Behavioral synthesis of area-efficient testable designs using interaction between hardware sharing and partial scan}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {14}, number = {9}, pages = {1141--1154}, year = {1995}, url = {https://doi.org/10.1109/43.406715}, doi = {10.1109/43.406715}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/PotkonjakDR95a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/PotkonjakDR95, author = {Miodrag Potkonjak and Sujit Dey and Rabindra K. Roy}, editor = {Isao Shirakawa}, title = {Synthesis-for-testability using transformations}, booktitle = {Proceedings of the 1995 Conference on Asia Pacific Design Automation, Makuhari, Massa, Chiba, Japan, August 29 - September 1, 1995}, publisher = {{ACM}}, year = {1995}, url = {https://doi.org/10.1145/224818.224961}, doi = {10.1145/224818.224961}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/PotkonjakDR95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PotkonjakDW95, author = {Miodrag Potkonjak and Sujit Dey and Kazutoshi Wakabayashi}, editor = {Richard L. Rudell}, title = {Design-for-debugging of application specific designs}, booktitle = {Proceedings of the 1995 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1995, San Jose, California, USA, November 5-9, 1995}, pages = {295--301}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1995}, url = {https://doi.org/10.1109/ICCAD.1995.480026}, doi = {10.1109/ICCAD.1995.480026}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PotkonjakDW95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DeyGP95, author = {Sujit Dey and Vijay Gangaram and Miodrag Potkonjak}, editor = {Richard L. Rudell}, title = {A controller-based design-for-testability technique for controller-data path circuits}, booktitle = {Proceedings of the 1995 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1995, San Jose, California, USA, November 5-9, 1995}, pages = {534--540}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1995}, url = {https://doi.org/10.1109/ICCAD.1995.480168}, doi = {10.1109/ICCAD.1995.480168}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DeyGP95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChakradharD94, author = {Srimat T. Chakradhar and Sujit Dey}, editor = {Michael J. Lorenzetti}, title = {Resynthesis and Retiming for Optimum Partial Scan}, booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994}, pages = {87--93}, publisher = {{ACM} Press}, year = {1994}, url = {https://doi.org/10.1145/196244.196288}, doi = {10.1145/196244.196288}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChakradharD94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BhattacharyaDB94, author = {Subhrajit Bhattacharya and Sujit Dey and Franc Brglez}, editor = {Michael J. Lorenzetti}, title = {Clock Period Optimization During Resource Sharing and Assignment}, booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994}, pages = {195--200}, publisher = {{ACM} Press}, year = {1994}, url = {https://doi.org/10.1145/196244.196346}, doi = {10.1145/196244.196346}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BhattacharyaDB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PotkonjakD94, author = {Miodrag Potkonjak and Sujit Dey}, editor = {Michael J. Lorenzetti}, title = {Optimizing Resource Utilization and Testability Using Hot Potato Techniques}, booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994}, pages = {201--205}, publisher = {{ACM} Press}, year = {1994}, url = {https://doi.org/10.1145/196244.196350}, doi = {10.1145/196244.196350}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PotkonjakD94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BhattacharyaDB94a, author = {Subhrajit Bhattacharya and Sujit Dey and Franc Brglez}, editor = {Michael J. Lorenzetti}, title = {Performance Analysis and Optimization of Schedules for Conditional and Loop-Intensive Specifications}, booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994}, pages = {491--496}, publisher = {{ACM} Press}, year = {1994}, url = {https://doi.org/10.1145/196244.196477}, doi = {10.1145/196244.196477}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BhattacharyaDB94a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/DeyPR94, author = {Sujit Dey and Miodrag Potkonjak and Rabindra K. Roy}, title = {Behavioral synthesis of low-cost partial scan designs for {DSP} applications}, booktitle = {Proceedings of {ICASSP} '94: {IEEE} International Conference on Acoustics, Speech and Signal Processing, Adelaide, South Australia, Australia, April 19-22, 1994}, pages = {441--444}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/ICASSP.1994.389615}, doi = {10.1109/ICASSP.1994.389615}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/DeyPR94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DeyP94, author = {Sujit Dey and Miodrag Potkonjak}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Non-scan design-for-testability of RT-level data paths}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {640--645}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629889}, doi = {10.1109/ICCAD.1994.629889}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DeyP94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BhattacharyaDB94, author = {Subhrajit Bhattacharya and Sujit Dey and Franc Brglez}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Provably correct high-level timing analysis without path sensitization}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {736--742}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629905}, doi = {10.1109/ICCAD.1994.629905}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BhattacharyaDB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DeyP94, author = {Sujit Dey and Miodrag Potkonjak}, title = {Transforming Behavioral Specifications to Facilitate Synthesis of Testable Designs}, booktitle = {Proceedings {IEEE} International Test Conference 1994, {TEST:} The Next 25 Years, Washington, DC, USA, October 2-6, 1994}, pages = {184--193}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/TEST.1994.527949}, doi = {10.1109/TEST.1994.527949}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DeyP94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/DeyPR94, author = {Sujit Dey and Miodrag Potkonjak and Rabindra K. Roy}, title = {Synthesizing designs with low-cardinality minimum feedback vertex set for partial scan application}, booktitle = {12th {IEEE} {VLSI} Test Symposium (VTS'94), April 25-28, 1994, Cherry Hill, New Jersey, {USA}}, pages = {2--7}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/VTEST.1994.292342}, doi = {10.1109/VTEST.1994.292342}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/DeyPR94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/DeyC94, author = {Sujit Dey and Srimat T. Chakradhar}, title = {Retiming sequential circuits to enhance testability}, booktitle = {12th {IEEE} {VLSI} Test Symposium (VTS'94), April 25-28, 1994, Cherry Hill, New Jersey, {USA}}, pages = {28--33}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/VTEST.1994.292338}, doi = {10.1109/VTEST.1994.292338}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/DeyC94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/BhattacharyaBD93, author = {Subhrajit Bhattacharya and Franc Brglez and Sujit Dey}, title = {Transformations and resynthesis for testability of RT-level control-data path specifications}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {1}, number = {3}, pages = {304--318}, year = {1993}, url = {https://doi.org/10.1109/92.238444}, doi = {10.1109/92.238444}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/BhattacharyaBD93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChakradharDPR93, author = {Srimat T. Chakradhar and Sujit Dey and Miodrag Potkonjak and Steven G. Rothweiler}, editor = {Alfred E. Dunlop}, title = {Sequential Circuit Delay optimization Using Global Path Delays}, booktitle = {Proceedings of the 30th Design Automation Conference. Dallas, Texas, USA, June 14-18, 1993}, pages = {483--489}, publisher = {{ACM} Press}, year = {1993}, url = {https://doi.org/10.1145/157485.164991}, doi = {10.1145/157485.164991}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChakradharDPR93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/IqbalPDP93, author = {Zia Iqbal and Miodrag Potkonjak and Sujit Dey and Alice C. Parker}, editor = {Alfred E. Dunlop}, title = {Critical Path Minimization Using Retiming and Algebraic Speed-Up}, booktitle = {Proceedings of the 30th Design Automation Conference. Dallas, Texas, USA, June 14-18, 1993}, pages = {573--577}, publisher = {{ACM} Press}, year = {1993}, url = {https://doi.org/10.1145/157485.165046}, doi = {10.1145/157485.165046}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/IqbalPDP93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DeyPR93, author = {Sujit Dey and Miodrag Potkonjak and Rabindra K. Roy}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Exploiting hardware sharing in high-level synthesis for partial scan optimization}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {20--25}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580025}, doi = {10.1109/ICCAD.1993.580025}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DeyPR93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PotkonjakDIP93, author = {Miodrag Potkonjak and Sujit Dey and Zia Iqbal and Alice C. Parker}, title = {High Performance Embedded System Optimization Using Algebraic and Generalized Retiming Techniques}, booktitle = {Proceedings 1993 International Conference on Computer Design: {VLSI} in Computers {\&} Processors, {ICCD} '93, Cambridge, MA, USA, October 3-6, 1993}, pages = {498--504}, publisher = {{IEEE} Computer Society}, year = {1993}, url = {https://doi.org/10.1109/ICCD.1993.393326}, doi = {10.1109/ICCD.1993.393326}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PotkonjakDIP93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DeyPR92, author = {Sujit Dey and Miodrag Potkonjak and Steven G. Rothweiler}, editor = {Louise Trevillyan and Michael R. Lightner}, title = {Performance optimization of sequential circuits by eliminating retiming bottlenecks}, booktitle = {1992 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1992, Santa Clara, CA, USA, November 8-12, 1992. Digest of Technical Papers}, pages = {504--509}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1992}, url = {https://doi.org/10.1109/ICCAD.1992.279320}, doi = {10.1109/ICCAD.1992.279320}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DeyPR92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AsharDM92, author = {Pranav Ashar and Sujit Dey and Sharad Malik}, editor = {Louise Trevillyan and Michael R. Lightner}, title = {Exploiting multi-cycle false paths in the performance optimization of sequential circuits}, booktitle = {1992 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1992, Santa Clara, CA, USA, November 8-12, 1992. Digest of Technical Papers}, pages = {510--517}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1992}, url = {https://doi.org/10.1109/ICCAD.1992.279319}, doi = {10.1109/ICCAD.1992.279319}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/AsharDM92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DeyBK91, author = {Sujit Dey and Franc Brglez and Gershon Kedem}, title = {Partitioning Sequential Circuits for Logic Optimization}, booktitle = {Proceedings 1991 {IEEE} International Conference on Computer Design: {VLSI} in Computer {\&} Processors, {ICCD} '91, Cambridge, MA, USA, October 14-16, 1991}, pages = {70--76}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICCD.1991.139848}, doi = {10.1109/ICCD.1991.139848}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DeyBK91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/DeyBK91, author = {Sujit Dey and Franc Brglez and Gershon Kedem}, editor = {Arne Halaas and Peter B. Denyer}, title = {Identification and Resynthesis of Pipelines in Sequential Networks}, booktitle = {{VLSI} 91, Proceedings of the {IFIP} {TC10/WG} 10.5 International Conference on Very Large Scale Integration, Edinburgh, Scotland, 20-22 August, 1991}, series = {{IFIP} Transactions}, volume = {{A-1}}, pages = {439--449}, publisher = {North-Holland}, year = {1991}, timestamp = {Fri, 02 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/DeyBK91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/DeyS90, author = {Sujit Dey and Pradip K. Srimani}, title = {A New Parallel Sorting Algorithm and its Efficient {VLSI} Implementation}, journal = {Comput. J.}, volume = {33}, number = {3}, pages = {241--246}, year = {1990}, url = {https://doi.org/10.1093/comjnl/33.3.241}, doi = {10.1093/COMJNL/33.3.241}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/DeyS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DeyBK90, author = {Sujit Dey and Franc Brglez and Gershon Kedem}, editor = {Richard C. Smith}, title = {Corolla Based Circuit Partitioning and Resynthesis}, booktitle = {Proceedings of the 27th {ACM/IEEE} Design Automation Conference. Orlando, Florida, USA, June 24-28, 1990}, pages = {607--612}, publisher = {{IEEE} Computer Society Press}, year = {1990}, url = {https://doi.org/10.1145/123186.123416}, doi = {10.1145/123186.123416}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DeyBK90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acm/DeyS88, author = {Sujit Dey and Pradip K. Srimani}, title = {Parallel {VLSI} computation of all shortest paths in a graph}, booktitle = {Proceedings of the Sixteenth {ACM} Annual Conference on Computer Science, Atlanta, Georgia, USA, February 23-25, 1988}, pages = {373--379}, publisher = {{ACM}}, year = {1988}, url = {https://doi.org/10.1145/322609.322778}, doi = {10.1145/322609.322778}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acm/DeyS88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.