BibTeX records: Wim Dehaene

download as .bib file

@article{DBLP:journals/jssc/PelgrimsMD24,
  author       = {Jonas Pelgrims and
                  Kris Myny and
                  Wim Dehaene},
  title        = {An Ultrasonic Driver Array in Metal-Oxide Thin-Film Technology Using
                  a Hybrid TFT-Si {DLL} Locking Architecture},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {59},
  number       = {2},
  pages        = {516--527},
  year         = {2024},
  url          = {https://doi.org/10.1109/JSSC.2023.3313068},
  doi          = {10.1109/JSSC.2023.3313068},
  timestamp    = {Thu, 29 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/PelgrimsMD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasI/VanhoofD24,
  author       = {Bob Vanhoof and
                  Wim Dehaene},
  title        = {A 1MHz 256kb Ultra Low Power Memory Macro for Biomedical Recording
                  Applications in 22nm {FD-SOI} Using {FECC} to Enable Data Retention
                  Down to 170mV Supply Voltage},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {71},
  number       = {1},
  pages        = {299--305},
  year         = {2024},
  url          = {https://doi.org/10.1109/TCSI.2023.3327491},
  doi          = {10.1109/TCSI.2023.3327491},
  timestamp    = {Sat, 10 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcasI/VanhoofD24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tbcas/BosVD23,
  author       = {Thomas Bos and
                  Marian Verhelst and
                  Wim Dehaene},
  title        = {An End-to-End Dual {ASIC} {OFDM} Transceiver for Ultrasound In-Body
                  Communication},
  journal      = {{IEEE} Trans. Biomed. Circuits Syst.},
  volume       = {17},
  number       = {4},
  pages        = {664--673},
  year         = {2023},
  url          = {https://doi.org/10.1109/TBCAS.2023.3289919},
  doi          = {10.1109/TBCAS.2023.3289919},
  timestamp    = {Fri, 27 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tbcas/BosVD23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tbcas/FeyerickD23,
  author       = {Maxime Feyerick and
                  Wim Dehaene},
  title        = {Dense, 11 V-Tolerant, Balanced Stimulator {IC} with Digital Time-Domain
                  Calibration for {\textdollar}100 nA Error},
  journal      = {{IEEE} Trans. Biomed. Circuits Syst.},
  volume       = {17},
  number       = {5},
  pages        = {1166--1176},
  year         = {2023},
  url          = {https://doi.org/10.1109/TBCAS.2023.3287294},
  doi          = {10.1109/TBCAS.2023.3287294},
  timestamp    = {Sun, 10 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tbcas/FeyerickD23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/NietoTaladrizD23,
  author       = {Clara Nieto{-}Taladriz and
                  Wim Dehaene},
  title        = {Automated In-Situ Monitoring for Variability-Resilient and Energy-Efficient
                  Digital Circuits Demonstrated on a Viterbi Decoder in 22-nm {CMOS}},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {31},
  number       = {9},
  pages        = {1320--1329},
  year         = {2023},
  url          = {https://doi.org/10.1109/TVLSI.2023.3282678},
  doi          = {10.1109/TVLSI.2023.3282678},
  timestamp    = {Thu, 14 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/NietoTaladrizD23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/PelgrimsMD23,
  author       = {Jonas Pelgrims and
                  Kris Myny and
                  Wim Dehaene},
  title        = {A 44V Driver Array for Ultrasonic Haptic Feedback in Display Compatible
                  Thin-Film Low Temperature Poly-Silicon},
  booktitle    = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2023, San Antonio,
                  TX, USA, April 23-26, 2023},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/CICC57935.2023.10121325},
  doi          = {10.1109/CICC57935.2023.10121325},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cicc/PelgrimsMD23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/JiangHVD23,
  author       = {Weijie Jiang and
                  Pouya Houshmand and
                  Marian Verhelst and
                  Wim Dehaene},
  title        = {A 16nm 128kB high-density fully digital In Memory Compute macro with
                  reverse {SRAM} pre-charge achieving 0.36TOPs/mm\({}^{\mbox{2}}\),
                  256kB/mm\({}^{\mbox{2}}\) and 23. 8TOPs/W},
  booktitle    = {49th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2023,
                  Lisbon, Portugal, September 11-14, 2023},
  pages        = {409--412},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ESSCIRC59616.2023.10268774},
  doi          = {10.1109/ESSCIRC59616.2023.10268774},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/esscirc/JiangHVD23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/GuptaCDD23,
  author       = {Mohit Gupta and
                  Stefan Cosemans and
                  Peter Debacker and
                  Wim Dehaene},
  title        = {A 2Mbit Digital in-Memory Computing Matrix-Vector Multiplier for {DNN}
                  Inference supporting flexible bit precision and matrix size achieving
                  612 binary {TOPS/W}},
  booktitle    = {49th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2023,
                  Lisbon, Portugal, September 11-14, 2023},
  pages        = {417--420},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ESSCIRC59616.2023.10268763},
  doi          = {10.1109/ESSCIRC59616.2023.10268763},
  timestamp    = {Mon, 23 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/GuptaCDD23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DandekarMD23,
  author       = {Mohit Dandekar and
                  Kris Myny and
                  Wim Dehaene},
  title        = {An Active-Pixel Readout Circuit Technique towards all LTPS-TFT-on-foil
                  Large-Area Imagers with Inherent Nonlinearity Compensation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023,
                  Monterey, CA, USA, May 21-25, 2023},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISCAS46773.2023.10182153},
  doi          = {10.1109/ISCAS46773.2023.10182153},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DandekarMD23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ShiCVJMDV23,
  author       = {Man Shi and
                  Steven Colleman and
                  Charlotte VanDeMieroop and
                  Antony Joseph and
                  Maurice Meijer and
                  Wim Dehaene and
                  Marian Verhelst},
  title        = {{CMDS:} Cross-layer Dataflow Optimization for {DNN} Accelerators Exploiting
                  Multi-bank Memories},
  booktitle    = {24th International Symposium on Quality Electronic Design, {ISQED}
                  2023, San Francisco, CA, USA, April 5-7, 2023},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISQED57927.2023.10129330},
  doi          = {10.1109/ISQED57927.2023.10129330},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ShiCVJMDV23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/UytterhoevenD22,
  author       = {Roel Uytterhoeven and
                  Wim Dehaene},
  title        = {Design Margin Reduction Through Completion Detection in a 28-nm Near-Threshold
                  {DSP} Processor},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {57},
  number       = {2},
  pages        = {651--660},
  year         = {2022},
  url          = {https://doi.org/10.1109/JSSC.2021.3106245},
  doi          = {10.1109/JSSC.2021.3106245},
  timestamp    = {Tue, 08 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/UytterhoevenD22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/biocas/BosVD22,
  author       = {Thomas Bos and
                  Marian Verhelst and
                  Wim Dehaene},
  title        = {A Flexible End-to-End Dual {ASIC} Transceiver for {OFDM} Ultrasound
                  In-Body Communication},
  booktitle    = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2022, Taipei,
                  Taiwan, October 13-15, 2022},
  pages        = {21--25},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/BioCAS54905.2022.9948567},
  doi          = {10.1109/BIOCAS54905.2022.9948567},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/biocas/BosVD22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/biocas/FeyerickD22,
  author       = {Maxime Feyerick and
                  Wim Dehaene},
  title        = {An 11 V-tolerant, high-density neurostimulator using time-domain calibration
                  in 65 nm {CMOS}},
  booktitle    = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2022, Taipei,
                  Taiwan, October 13-15, 2022},
  pages        = {429--433},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/BioCAS54905.2022.9948626},
  doi          = {10.1109/BIOCAS54905.2022.9948626},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/biocas/FeyerickD22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cf/BeckersUVVWDDGM22,
  author       = {Arthur Beckers and
                  Roel Uytterhoeven and
                  Thomas Vandenabeele and
                  Jo Vliegen and
                  Lennert Wouters and
                  Joan Daemen and
                  Wim Dehaene and
                  Benedikt Gierlichs and
                  Nele Mentens},
  editor       = {Luca Sterpone and
                  Andrea Bartolini and
                  Anastasiia Butko},
  title        = {Energy and side-channel security evaluation of near-threshold cryptographic
                  circuits in 28nm {FD-SOI} technology},
  booktitle    = {{CF} '22: 19th {ACM} International Conference on Computing Frontiers,
                  Turin, Italy, May 17 - 22, 2022},
  pages        = {258--262},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3528416.3530992},
  doi          = {10.1145/3528416.3530992},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cf/BeckersUVVWDDGM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/PelgrimsMD22,
  author       = {Jonas Pelgrims and
                  Kris Myny and
                  Wim Dehaene},
  title        = {A 24V Thin-Film Ultrasonic Driver for Haptic Feedback in Metal-Oxide
                  Thin-Film Technology using Hybrid {DLL} Locking Architecture},
  booktitle    = {48th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2022,
                  Milan, Italy, September 19-22, 2022},
  pages        = {69--72},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ESSCIRC55480.2022.9911408},
  doi          = {10.1109/ESSCIRC55480.2022.9911408},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/esscirc/PelgrimsMD22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/CelikerSCDM22,
  author       = {Hikmet {\c{C}}eliker and
                  Antony Sou and
                  Brian Cobb and
                  Wim Dehaene and
                  Kris Myny},
  title        = {Flex6502: {A} Flexible 8b Microprocessor in 0.8{\(\mathrm{\mu}\)}m
                  Metal-Oxide Thin-Film Transistor Technology Implemented with a Complete
                  Digital Design Flow Running Complex Assembly Code},
  booktitle    = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022,
                  San Francisco, CA, USA, February 20-26, 2022},
  pages        = {272--274},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISSCC42614.2022.9731790},
  doi          = {10.1109/ISSCC42614.2022.9731790},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/CelikerSCDM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/newcas/KaziRD22,
  author       = {Ibrahim Kazi and
                  Patrick Reynaert and
                  Wim Dehaene},
  title        = {Clock Recovery Circuit Using a Transmission Line as a Delay Element
                  from a 100Gb/s bit stream},
  booktitle    = {20th {IEEE} Interregional {NEWCAS} Conference, {NEWCAS} 2022, Quebec
                  City, QC, Canada, June 19-22, 2022},
  pages        = {261--264},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/NEWCAS52662.2022.9842073},
  doi          = {10.1109/NEWCAS52662.2022.9842073},
  timestamp    = {Thu, 11 Aug 2022 08:52:15 +0200},
  biburl       = {https://dblp.org/rec/conf/newcas/KaziRD22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/PelgrimsMD21,
  author       = {Jonas Pelgrims and
                  Kris Myny and
                  Wim Dehaene},
  title        = {A 36V Ultrasonic Driver for Haptic Feedback Using Advanced Charge
                  Recycling Achieving 0.20CV\({}^{\mbox{2}}\)f Power Consumption},
  booktitle    = {47th {ESSCIRC} 2021 - European Solid State Circuits Conference, {ESSCIR}
                  2021, Grenoble, France, September 13-22, 2021},
  pages        = {159--162},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ESSCIRC53450.2021.9567765},
  doi          = {10.1109/ESSCIRC53450.2021.9567765},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/PelgrimsMD21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/CelikerDM21,
  author       = {Hikmet {\c{C}}eliker and
                  Wim Dehaene and
                  Kris Myny},
  title        = {Dual-Input Pseudo-CMOS Logic for Digital Applications on Flexible
                  Substrates},
  booktitle    = {47th {ESSCIRC} 2021 - European Solid State Circuits Conference, {ESSCIR}
                  2021, Grenoble, France, September 13-22, 2021},
  pages        = {255--258},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ESSCIRC53450.2021.9567783},
  doi          = {10.1109/ESSCIRC53450.2021.9567783},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/CelikerDM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/DandekarMD21,
  author       = {Mohit Dandekar and
                  Kris Myny and
                  Wim Dehaene},
  title        = {An a-IGZO {TFT} based Op-Amp with 57 dB DC-Gain, 311 KHz Unity-gain
                  Freq., 75 deg. Phase Margin and 2.43 mW Power on Flexible Substrate},
  booktitle    = {47th {ESSCIRC} 2021 - European Solid State Circuits Conference, {ESSCIR}
                  2021, Grenoble, France, September 13-22, 2021},
  pages        = {407--410},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ESSCIRC53450.2021.9567794},
  doi          = {10.1109/ESSCIRC53450.2021.9567794},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/DandekarMD21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/essderc/PelgrimsMD21,
  author       = {Jonas Pelgrims and
                  Kris Myny and
                  Wim Dehaene},
  title        = {A 36V Ultrasonic Driver for Haptic Feedback Using Advanced Charge
                  Recycling Achieving 0.20CV\({}^{\mbox{2}}\)f Power Consumption},
  booktitle    = {51st {IEEE} European Solid-State Device Research Conference, {ESSDERC}
                  2021, Grenoble, France, September 13-22, 2021},
  pages        = {159--162},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ESSDERC53440.2021.9631771},
  doi          = {10.1109/ESSDERC53440.2021.9631771},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/essderc/PelgrimsMD21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/essderc/OhBPMRDDFKD21,
  author       = {Hyungrock Oh and
                  Attilio Belmonte and
                  Manu Perumkunnil and
                  J{\'{e}}r{\^{o}}me Mitard and
                  Nouredine Rassoul and
                  Gabriele Luca Donadio and
                  Romain Delhougne and
                  Arnaud Furn{\'{e}}mont and
                  Gouri Sankar Kar and
                  Wim Dehaene},
  title        = {Enhanced data integrity of In-Ga-Zn-Oxide based Capacitor-less 2T
                  memory for {DRAM} applications},
  booktitle    = {51st {IEEE} European Solid-State Device Research Conference, {ESSDERC}
                  2021, Grenoble, France, September 13-22, 2021},
  pages        = {275--278},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ESSDERC53440.2021.9631811},
  doi          = {10.1109/ESSDERC53440.2021.9631811},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/essderc/OhBPMRDDFKD21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ijcnn/DoevenspeckVLMD21,
  author       = {Jonas Doevenspeck and
                  Peter Vrancx and
                  Nathan Laubeuf and
                  Arindam Mallik and
                  Peter Debacker and
                  Diederik Verkest and
                  Rudy Lauwereins and
                  Wim Dehaene},
  title        = {Noise tolerant ternary weight deep neural networks for analog in-memory
                  inference},
  booktitle    = {International Joint Conference on Neural Networks, {IJCNN} 2021, Shenzhen,
                  China, July 18-22, 2021},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IJCNN52387.2021.9533684},
  doi          = {10.1109/IJCNN52387.2021.9533684},
  timestamp    = {Wed, 29 Sep 2021 17:00:55 +0200},
  biburl       = {https://dblp.org/rec/conf/ijcnn/DoevenspeckVLMD21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/BaertD20,
  author       = {Maarten Baert and
                  Wim Dehaene},
  title        = {A 5-GS/s 7.2-ENOB Time-Interleaved VCO-Based {ADC} Achieving 30.5
                  fJ/cs},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {55},
  number       = {6},
  pages        = {1577--1587},
  year         = {2020},
  url          = {https://doi.org/10.1109/JSSC.2019.2959484},
  doi          = {10.1109/JSSC.2019.2959484},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/BaertD20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/FransDMB19,
  author       = {Yohan Frans and
                  Wim Dehaene and
                  Masato Motomura and
                  Seung{-}Jun Bae},
  title        = {Introduction to the Special Issue on the 2018 International Solid-State
                  Circuits Conference {(ISSCC)}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {54},
  number       = {1},
  pages        = {3--5},
  year         = {2019},
  url          = {https://doi.org/10.1109/JSSC.2018.2883880},
  doi          = {10.1109/JSSC.2018.2883880},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/FransDMB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tbcas/BosJDVD19,
  author       = {Thomas Bos and
                  Wentao Jiang and
                  Jan D'hooge and
                  Marian Verhelst and
                  Wim Dehaene},
  title        = {Enabling Ultrasound In-Body Communication: {FIR} Channel Models and
                  {QAM} Experiments},
  journal      = {{IEEE} Trans. Biomed. Circuits Syst.},
  volume       = {13},
  number       = {1},
  pages        = {135--144},
  year         = {2019},
  url          = {https://doi.org/10.1109/TBCAS.2018.2880878},
  doi          = {10.1109/TBCAS.2018.2880878},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tbcas/BosJDVD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tches/MentensGVVSDM19,
  author       = {Nele Mentens and
                  Jan Genoe and
                  Thomas Vandenabeele and
                  Lynn Verschueren and
                  Dirk Smets and
                  Wim Dehaene and
                  Kris Myny},
  title        = {Security on Plastics: Fake or Real?},
  journal      = {{IACR} Trans. Cryptogr. Hardw. Embed. Syst.},
  volume       = {2019},
  number       = {4},
  pages        = {1--16},
  year         = {2019},
  url          = {https://doi.org/10.13154/tches.v2019.i4.1-16},
  doi          = {10.13154/TCHES.V2019.I4.1-16},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tches/MentensGVVSDM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/biocas/BosDV19,
  author       = {Thomas Bos and
                  Wim Dehaene and
                  Marian Verhelst},
  title        = {Ultrasound In-Body Communication with {OFDM} through Multipath Realistic
                  Channels},
  booktitle    = {2019 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2019,
                  Nara, Japan, October 17-19, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/BIOCAS.2019.8918755},
  doi          = {10.1109/BIOCAS.2019.8918755},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/biocas/BosDV19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/RooseCGDM19,
  author       = {Florian De Roose and
                  Hikmet Celiker and
                  Jan Genoe and
                  Wim Dehaene and
                  Kris Myny},
  editor       = {J{\"{u}}rgen Teich and
                  Franco Fummi},
  title        = {Dual-gate self-aligned a-InGaZnO transistor model for flexible circuit
                  applications},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2019, Florence, Italy, March 25-29, 2019},
  pages        = {25--29},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.23919/DATE.2019.8715075},
  doi          = {10.23919/DATE.2019.8715075},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/RooseCGDM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/DoevenspeckDFDV19,
  author       = {Jonas Doevenspeck and
                  Robin Degraeve and
                  Andrea Fantini and
                  Peter Debacker and
                  Diederik Verkest and
                  Rudy Lauwereins and
                  Wim Dehaene},
  title        = {Low Voltage Transient {RESET} Kinetic Modeling of OxRRAM for Neuromorphic
                  Applications},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2019, Monterey,
                  CA, USA, March 31 - April 4, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/IRPS.2019.8720555},
  doi          = {10.1109/IRPS.2019.8720555},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/DoevenspeckDFDV19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/RooseGKMD19,
  author       = {Florian De Roose and
                  Jan Genoe and
                  Auke Jisk Kronemeijer and
                  Kris Myny and
                  Wim Dehaene},
  title        = {Memory Solutions for Flexible Thin-Film Logic: up to 8kb, {\textgreater}105.9kb/s
                  {LPROM} and {SRAM} with Integrated Timing Generation Meeting the {ISO}
                  {NFC} Standard},
  booktitle    = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019,
                  San Francisco, CA, USA, February 17-21, 2019},
  pages        = {206--208},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISSCC.2019.8662503},
  doi          = {10.1109/ISSCC.2019.8662503},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/RooseGKMD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/BaertD19,
  author       = {Maarten Baert and
                  Wim Dehaene},
  title        = {A 5GS/s 7.2 {ENOB} Time-Interleaved VCO-Based {ADC} Achieving 30.5fJ/conv-step},
  booktitle    = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019,
                  San Francisco, CA, USA, February 17-21, 2019},
  pages        = {328--330},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISSCC.2019.8662412},
  doi          = {10.1109/ISSCC.2019.8662412},
  timestamp    = {Tue, 12 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/BaertD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/imm/KnipprathTBCLMG18,
  author       = {Heidi Knipprath and
                  Lieve Thibaut and
                  Marie{-}Paule Buyse and
                  Stijn Ceuppens and
                  Haydee De Loof and
                  Jolien De Meester and
                  Leen Goovaerts and
                  Annemie Struyf and
                  Jelle Boeve{-}De Pauw and
                  Fien Depaepe and
                  Johan Deprez and
                  Mieke De Cock and
                  Luc Hellinckx and
                  Greet Langie and
                  Katrien Struyven and
                  Didier Van De Velde and
                  Peter Van Petegem and
                  Wim Dehaene},
  title        = {{STEM} education in Flanders: Literacy and a positive attitude towards
                  {STEM}},
  journal      = {{IEEE} Instrum. Meas. Mag.},
  volume       = {21},
  number       = {3},
  pages        = {36--40},
  year         = {2018},
  url          = {https://doi.org/10.1109/MIM.2018.8360917},
  doi          = {10.1109/MIM.2018.8360917},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/imm/KnipprathTBCLMG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ReyserhoveD18,
  author       = {Hans Reyserhove and
                  Wim Dehaene},
  title        = {Margin Elimination Through Timing Error Detection in a Near-Threshold
                  Enabled 32-bit Microcontroller in 40-nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {53},
  number       = {7},
  pages        = {2101--2113},
  year         = {2018},
  url          = {https://doi.org/10.1109/JSSC.2018.2821121},
  doi          = {10.1109/JSSC.2018.2821121},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ReyserhoveD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/PapadopoulosRSS18,
  author       = {Nikolas P. Papadopoulos and
                  Florian De Roose and
                  Jan{-}Laurens P. J. van der Steen and
                  Edsger C. P. Smits and
                  Marc Ameys and
                  Wim Dehaene and
                  Jan Genoe and
                  Kris Myny},
  title        = {Toward Temperature Tracking With Unipolar Metal-Oxide Thin-Film {SAR}
                  {C-2C} {ADC} on Plastic},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {53},
  number       = {8},
  pages        = {2263--2272},
  year         = {2018},
  url          = {https://doi.org/10.1109/JSSC.2018.2831211},
  doi          = {10.1109/JSSC.2018.2831211},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/PapadopoulosRSS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mr/AgboTKHWCCD18,
  author       = {Innocent Agbo and
                  Mottaqiallah Taouil and
                  Daniel Kraak and
                  Said Hamdioui and
                  Pieter Weckx and
                  Stefan Cosemans and
                  Francky Catthoor and
                  Wim Dehaene},
  title        = {Impact and mitigation of {SRAM} read path aging},
  journal      = {Microelectron. Reliab.},
  volume       = {87},
  pages        = {158--167},
  year         = {2018},
  url          = {https://doi.org/10.1016/j.microrel.2018.05.011},
  doi          = {10.1016/J.MICROREL.2018.05.011},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mr/AgboTKHWCCD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/PapadopoulosSRE18,
  author       = {Nikolaos Papadopoulos and
                  Soeren Steudel and
                  Florian De Roose and
                  Doaa M. Eigabry and
                  Auke Jisk Kronemeijer and
                  Jan Genoe and
                  Wim Dehaene and
                  Kris Myny},
  title        = {In-Panel 31.17dB 140kHz 87{\(\mathrm{\mu}\)}W Unipolar Dual-Gate In-Ga-Zn-O
                  Charge-Sense Amplifier for 500dpi Sensor Array on Flexible Displays},
  booktitle    = {44th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2018,
                  Dresden, Germany, September 3-6, 2018},
  pages        = {194--197},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ESSCIRC.2018.8494260},
  doi          = {10.1109/ESSCIRC.2018.8494260},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/esscirc/PapadopoulosSRE18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/UytterhoevenD18,
  author       = {Roel Uytterhoeven and
                  Wim Dehaene},
  title        = {A sub 10 pJ/Cycle Over a 2 to 200 MHz Performance Range {RISC-} {V}
                  Microprocessor in 28 nm {FDSOI}},
  booktitle    = {44th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2018,
                  Dresden, Germany, September 3-6, 2018},
  pages        = {236--239},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ESSCIRC.2018.8494259},
  doi          = {10.1109/ESSCIRC.2018.8494259},
  timestamp    = {Tue, 23 Oct 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/UytterhoevenD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/essderc/DoevenspeckDCRV18,
  author       = {Jonas Doevenspeck and
                  Robin Degraeve and
                  Stefan Cosemans and
                  Philippe Roussel and
                  Bram{-}Ernst Verhoef and
                  Rudy Lauwereins and
                  Wim Dehaene},
  title        = {Analytic variability study of inference accuracy in {RRAM} arrays
                  with a binary tree winner-take-all circuit for neuromorphic applications},
  booktitle    = {48th European Solid-State Device Research Conference, {ESSDERC} 2018,
                  Dresden, Germany, September 3-6, 2018},
  pages        = {62--65},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ESSDERC.2018.8486860},
  doi          = {10.1109/ESSDERC.2018.8486860},
  timestamp    = {Wed, 16 Oct 2019 14:14:50 +0200},
  biburl       = {https://dblp.org/rec/conf/essderc/DoevenspeckDCRV18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/patmos/VandenabeeleUDM18,
  author       = {Thomas Vandenabeele and
                  Roel Uytterhoeven and
                  Wim Dehaene and
                  Nele Mentens},
  title        = {A Systematic Performance Comparison of Ultra Low-Power {AES} S-Boxes},
  booktitle    = {28th International Symposium on Power and Timing Modeling, Optimization
                  and Simulation, {PATMOS} 2018, Platja d'Aro, Spain, July 2-4, 2018},
  pages        = {248--253},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/PATMOS.2018.8464160},
  doi          = {10.1109/PATMOS.2018.8464160},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/patmos/VandenabeeleUDM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cm/MurilloBBBDPTSV17,
  author       = {Yuri Murillo and
                  Bertold Van den Bergh and
                  Jona Beysens and
                  Alexander Bertrand and
                  Wim Dehaene and
                  Panagiotis Patrinos and
                  Tinne Tuytelaars and
                  Ruth Vazquez Sabariego and
                  Marian Verhelst and
                  Patrick Wambacq and
                  Sofie Pollin},
  title        = {Multidisciplinary Learning through Implementation of the {DVB-S2}
                  Standard},
  journal      = {{IEEE} Commun. Mag.},
  volume       = {55},
  number       = {5},
  pages        = {124--130},
  year         = {2017},
  url          = {https://doi.org/10.1109/MCOM.2017.1700007},
  doi          = {10.1109/MCOM.2017.1700007},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cm/MurilloBBBDPTSV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/CantatoreDS17,
  author       = {Eugenio Cantatore and
                  Wim Dehaene and
                  Robert Bogdan Staszewski},
  title        = {Introduction to the Special Issue on the 46th European Solid-State
                  Circuits Conference {(ESSCIRC)}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {7},
  pages        = {1700--1702},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2711159},
  doi          = {10.1109/JSSC.2017.2711159},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/CantatoreDS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ReyserhoveD17,
  author       = {Hans Reyserhove and
                  Wim Dehaene},
  title        = {A Differential Transmission Gate Design Flow for Minimum Energy Sub-10-pJ/Cycle
                  {ARM} Cortex-M0 MCUs},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {7},
  pages        = {1904--1914},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2693241},
  doi          = {10.1109/JSSC.2017.2693241},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ReyserhoveD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/RooseMASMRGD17,
  author       = {Florian De Roose and
                  Kris Myny and
                  Marc Ameys and
                  Jan{-}Laurens P. J. van der Steen and
                  Joris Maas and
                  Joris de Riet and
                  Jan Genoe and
                  Wim Dehaene},
  title        = {A Thin-Film, a-IGZO, 128b {SRAM} and {LPROM} Matrix With Integrated
                  Periphery on Flexible Foil},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {11},
  pages        = {3095--3103},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2731808},
  doi          = {10.1109/JSSC.2017.2731808},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/RooseMASMRGD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/AppeltansRKFPD17,
  author       = {Raf Appeltans and
                  Praveen Raghavan and
                  Gouri Sankar Kar and
                  Arnaud Furn{\'{e}}mont and
                  Liesbet Van der Perre and
                  Wim Dehaene},
  title        = {A Smaller, Faster, and More Energy-Efficient Complementary {STT-MRAM}
                  Cell Uses Three Transistors and a Ground Grid: More Is Actually Less},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {25},
  number       = {4},
  pages        = {1204--1214},
  year         = {2017},
  url          = {https://doi.org/10.1109/TVLSI.2016.2633004},
  doi          = {10.1109/TVLSI.2016.2633004},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/AppeltansRKFPD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/PapadopoulosRLS17,
  author       = {Nikolaos Papadopoulos and
                  Florian De Roose and
                  Yi{-}Cheng Lai and
                  Jan{-}Laurens P. J. van der Steen and
                  Marc Ameys and
                  Wim Dehaene and
                  Jan Genoe and
                  Kris Myny},
  title        = {Flexible selfbiased 66.7nJ/c.s. 6bit 26S/s successive-approximation
                  {C-2C} {ADC} with offset cancellation using unipolar Metal-Oxide TFTs},
  booktitle    = {2017 {IEEE} Custom Integrated Circuits Conference, {CICC} 2017, Austin,
                  TX, USA, April 30 - May 3, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/CICC.2017.7993671},
  doi          = {10.1109/CICC.2017.7993671},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cicc/PapadopoulosRLS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/MoonsUDV17,
  author       = {Bert Moons and
                  Roel Uytterhoeven and
                  Wim Dehaene and
                  Marian Verhelst},
  editor       = {David Atienza and
                  Giorgio Di Natale},
  title        = {{DVAFS:} Trading computational accuracy for energy through dynamic-voltage-accuracy-frequency-scaling},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017},
  pages        = {488--493},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.23919/DATE.2017.7927038},
  doi          = {10.23919/DATE.2017.7927038},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/MoonsUDV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/KraakATHWCCD17,
  author       = {Daniel Kraak and
                  Innocent Agbo and
                  Mottaqiallah Taouil and
                  Said Hamdioui and
                  Pieter Weckx and
                  Stefan Cosemans and
                  Francky Catthoor and
                  Wim Dehaene},
  editor       = {David Atienza and
                  Giorgio Di Natale},
  title        = {Mitigation of sense amplifier degradation using input switching},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017},
  pages        = {858--863},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.23919/DATE.2017.7927107},
  doi          = {10.23919/DATE.2017.7927107},
  timestamp    = {Mon, 14 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/KraakATHWCCD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/ReyserhoveD17,
  author       = {Hans Reyserhove and
                  Wim Dehaene},
  title        = {Design margin elimination in a near-threshold timing error masking-aware
                  32-bit {ARM} Cortex {M0} in 40nm {CMOS}},
  booktitle    = {43rd {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2017,
                  Leuven, Belgium, September 11-14, 2017},
  pages        = {155--158},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ESSCIRC.2017.8094549},
  doi          = {10.1109/ESSCIRC.2017.8094549},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/ReyserhoveD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/essderc/AgarwalSRRFHD17,
  author       = {Tarun Agarwal and
                  Bart Soree and
                  Iuliana P. Radu and
                  Praveen Raghavan and
                  Gianluca Fiori and
                  Marc M. Heyns and
                  Wim Dehaene},
  title        = {Material selection and device design guidelines for two-dimensional
                  materials based TFETs},
  booktitle    = {47th European Solid-State Device Research Conference, {ESSDERC} 2017,
                  Leuven, Belgium, September 11-14, 2017},
  pages        = {54--57},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ESSDERC.2017.8066590},
  doi          = {10.1109/ESSDERC.2017.8066590},
  timestamp    = {Fri, 24 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/essderc/AgarwalSRRFHD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/essderc/GuptaWCSBYJSRSM17,
  author       = {Mohit Kumar Gupta and
                  Pieter Weckx and
                  Stefan Cosemans and
                  Pieter Schuddinck and
                  Rogier Baert and
                  Dmitry Yakimets and
                  Doyoung Jang and
                  Yasser Sherazi and
                  Praveen Raghavan and
                  Alessio Spessot and
                  Anda Mocuta and
                  Wim Dehaene},
  title        = {Device circuit and technology co-optimisation for FinFET based 6T
                  {SRAM} cells beyond {N7}},
  booktitle    = {47th European Solid-State Device Research Conference, {ESSDERC} 2017,
                  Leuven, Belgium, September 11-14, 2017},
  pages        = {256--259},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ESSDERC.2017.8066640},
  doi          = {10.1109/ESSDERC.2017.8066640},
  timestamp    = {Tue, 01 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/essderc/GuptaWCSBYJSRSM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icassp/HuangDBDP17,
  author       = {Yanxiang Huang and
                  Claude Desset and
                  Andr{\'{e}} Bourdoux and
                  Wim Dehaene and
                  Liesbet Van der Perre},
  title        = {Massive {MIMO} processing at the semiconductor edge: Exploiting the
                  system and circuit margins for power savings},
  booktitle    = {2017 {IEEE} International Conference on Acoustics, Speech and Signal
                  Processing, {ICASSP} 2017, New Orleans, LA, USA, March 5-9, 2017},
  pages        = {3474--3478},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICASSP.2017.7952802},
  doi          = {10.1109/ICASSP.2017.7952802},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icassp/HuangDBDP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icicdt/GuptaWCSBJSRSMD17,
  author       = {Mohit Kumar Gupta and
                  Pieter Weckx and
                  Stefan Cosemans and
                  Pieter Schuddinck and
                  Rogier Baert and
                  Doyoung Jang and
                  Yasser Sherazi and
                  Praveen Raghavan and
                  Alessio Spessot and
                  Anda Mocuta and
                  Wim Dehaene},
  title        = {Dedicated technology threshold voltage tuning for 6T {SRAM} beyond
                  {N7}},
  booktitle    = {2017 {IEEE} International Conference on {IC} Design and Technology,
                  {ICICDT} 2017, Austin, TX, USA, May 23-25, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICICDT.2017.7993503},
  doi          = {10.1109/ICICDT.2017.7993503},
  timestamp    = {Tue, 01 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icicdt/GuptaWCSBJSRSMD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/MoonsUDV17,
  author       = {Bert Moons and
                  Roel Uytterhoeven and
                  Wim Dehaene and
                  Marian Verhelst},
  title        = {14.5 Envision: {A} 0.26-to-10TOPS/W subword-parallel dynamic-voltage-accuracy-frequency-scalable
                  Convolutional Neural Network processor in 28nm {FDSOI}},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {246--247},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870353},
  doi          = {10.1109/ISSCC.2017.7870353},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/MoonsUDV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/MynyLPRAWSSDG17,
  author       = {Kris Myny and
                  Yi{-}Cheng Lai and
                  Nikolaos Papadopoulos and
                  Florian De Roose and
                  Marc Ameys and
                  Myriam Willegems and
                  Steve Smout and
                  Soeren Steudel and
                  Wim Dehaene and
                  Jan Genoe},
  title        = {15.2 {A} flexible {ISO14443-A} compliant 7.5mW 128b metal-oxide {NFC}
                  barcode tag with direct clock division circuit from 13.56MHz carrier},
  booktitle    = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2017, San Francisco, CA, USA, February 5-9, 2017},
  pages        = {258--259},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISSCC.2017.7870359},
  doi          = {10.1109/ISSCC.2017.7870359},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/MynyLPRAWSSDG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/newcas/BosBDV17,
  author       = {Thomas Bos and
                  Komail M. H. Badami and
                  Wim Dehaene and
                  Marian Verhelst},
  title        = {An 8-11b 320kS/s resolution scalable noise shaping {SAR} {ADC}},
  booktitle    = {15th {IEEE} International New Circuits and Systems Conference, {NEWCAS}
                  2017, Strasbourg, France, June 25-28, 2017},
  pages        = {209--212},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/NEWCAS.2017.8010142},
  doi          = {10.1109/NEWCAS.2017.8010142},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/newcas/BosBDV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/HuangLKBVSWPDP16,
  author       = {Yanxiang Huang and
                  Chunshu Li and
                  Khaled Khalaf and
                  Andr{\'{e}} Bourdoux and
                  Julien Verschueren and
                  Qixian Shi and
                  Piet Wambacq and
                  Sofie Pollin and
                  Wim Dehaene and
                  Liesbet Van der Perre},
  title        = {A 28 nm {CMOS} 7.04 Gsps polar digital front-end processor for 60
                  GHz transmitter},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2016, Toyama,
                  Japan, November 7-9, 2016},
  pages        = {333--336},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ASSCC.2016.7844203},
  doi          = {10.1109/ASSCC.2016.7844203},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/HuangLKBVSWPDP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/0001RMDV16,
  author       = {Bohan Yang and
                  Vladimir Rozic and
                  Nele Mentens and
                  Wim Dehaene and
                  Ingrid Verbauwhede},
  editor       = {Luca Fanucci and
                  J{\"{u}}rgen Teich},
  title        = {{TOTAL:} {TRNG} on-the-fly testing for attack detection using Lightweight
                  hardware},
  booktitle    = {2016 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016},
  pages        = {127--132},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://ieeexplore.ieee.org/document/7459292/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/0001RMDV16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/AgarwalRRFTHD16,
  author       = {Tarun Agarwal and
                  Iuliana P. Radu and
                  Praveen Raghavan and
                  Gianluca Fiori and
                  Aaron Thean and
                  Marc M. Heyns and
                  Wim Dehaene},
  title        = {Effect of material parameters on two-dimensional materials based TFETs:
                  An energy-delay perspective},
  booktitle    = {{ESSCIRC} Conference 2016: 42\({}^{\mbox{nd}}\) European Solid-State
                  Circuits Conference, Lausanne, Switzerland, September 12-15, 2016},
  pages        = {55--58},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ESSCIRC.2016.7598241},
  doi          = {10.1109/ESSCIRC.2016.7598241},
  timestamp    = {Fri, 24 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/esscirc/AgarwalRRFTHD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/ReyserhoveD16,
  author       = {Hans Reyserhove and
                  Wim Dehaene},
  title        = {A 16.07pJ/cycle 31MHz fully differential transmission gate logic {ARM}
                  Cortex {M0} core in 40nm {CMOS}},
  booktitle    = {{ESSCIRC} Conference 2016: 42\({}^{\mbox{nd}}\) European Solid-State
                  Circuits Conference, Lausanne, Switzerland, September 12-15, 2016},
  pages        = {257--260},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ESSCIRC.2016.7598291},
  doi          = {10.1109/ESSCIRC.2016.7598291},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/esscirc/ReyserhoveD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/AgboTHWCCD16,
  author       = {Innocent Agbo and
                  Mottaqiallah Taouil and
                  Said Hamdioui and
                  Pieter Weckx and
                  Stefan Cosemans and
                  Francky Catthoor and
                  Wim Dehaene},
  title        = {Read path degradation analysis in {SRAM}},
  booktitle    = {21th {IEEE} European Test Symposium, {ETS} 2016, Amsterdam, Netherlands,
                  May 23-27, 2016},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ETS.2016.7519325},
  doi          = {10.1109/ETS.2016.7519325},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/ets/AgboTHWCCD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/Rozic0DV16,
  author       = {Vladimir Rozic and
                  Bohan Yang and
                  Wim Dehaene and
                  Ingrid Verbauwhede},
  editor       = {William H. Robinson and
                  Swarup Bhunia and
                  Ryan Kastner},
  title        = {Iterating Von Neumann's post-processing under hardware constraints},
  booktitle    = {2016 {IEEE} International Symposium on Hardware Oriented Security
                  and Trust, {HOST} 2016, McLean, VA, USA, May 3-5, 2016},
  pages        = {37--42},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/HST.2016.7495553},
  doi          = {10.1109/HST.2016.7495553},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/Rozic0DV16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/RooseMSWSPGD16,
  author       = {Florian De Roose and
                  Kris Myny and
                  Soeren Steudel and
                  Myriam Willigems and
                  Steve Smout and
                  Tim Piessens and
                  Jan Genoe and
                  Wim Dehaene},
  title        = {16.5 {A} flexible thin-film pixel array with a charge-to-current gain
                  of 59{\(\mathrm{\mu}\)}A/pC and 0.33{\%} nonlinearity and a cost effective
                  readout circuit for large-area X-ray imaging},
  booktitle    = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2016, San Francisco, CA, USA, January 31 - February 4, 2016},
  pages        = {296--297},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISSCC.2016.7418024},
  doi          = {10.1109/ISSCC.2016.7418024},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/RooseMSWSPGD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/Dehaene16,
  author       = {Wim Dehaene},
  title        = {{SC1:} Circuits for the internet of everything},
  booktitle    = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2016, San Francisco, CA, USA, January 31 - February 4, 2016},
  pages        = {522--523},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISSCC.2016.7418133},
  doi          = {10.1109/ISSCC.2016.7418133},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/Dehaene16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/AgboTHWCRCD16,
  author       = {Innocent Agbo and
                  Mottaqiallah Taouil and
                  Said Hamdioui and
                  Pieter Weckx and
                  Stefan Cosemans and
                  Praveen Raghavan and
                  Francky Catthoor and
                  Wim Dehaene},
  title        = {Quantification of Sense Amplifier Offset Voltage Degradation due to
                  Zero-and Run-Time Variability},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2016, Pittsburgh,
                  PA, USA, July 11-13, 2016},
  pages        = {725--730},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISVLSI.2016.30},
  doi          = {10.1109/ISVLSI.2016.30},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/AgboTHWCRCD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/IndirayantiAVDR15,
  author       = {Paramartha Indirayanti and
                  Tuba Ayhan and
                  Marian Verhelst and
                  Wim Dehaene and
                  Patrick Reynaert},
  title        = {A mm-Precise 60 GHz Transmitter in 40 nm {CMOS} for Discrete-Carrier
                  Indoor Localization},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {50},
  number       = {7},
  pages        = {1604--1617},
  year         = {2015},
  url          = {https://doi.org/10.1109/JSSC.2015.2414433},
  doi          = {10.1109/JSSC.2015.2414433},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/IndirayantiAVDR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/SmedtGD15,
  author       = {Valentijn De Smedt and
                  Georges G. E. Gielen and
                  Wim Dehaene},
  title        = {Development of an Ultralow-Power Injection-Locked {PSK} Receiver Architecture},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {62-II},
  number       = {1},
  pages        = {31--35},
  year         = {2015},
  url          = {https://doi.org/10.1109/TCSII.2014.2362631},
  doi          = {10.1109/TCSII.2014.2362631},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcas/SmedtGD15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/RozicYDV15,
  author       = {Vladimir Rozic and
                  Bohan Yang and
                  Wim Dehaene and
                  Ingrid Verbauwhede},
  title        = {Highly efficient entropy extraction for true random number generators
                  on FPGAs},
  booktitle    = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco,
                  CA, USA, June 7-11, 2015},
  pages        = {116:1--116:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2744769.2744852},
  doi          = {10.1145/2744769.2744852},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/RozicYDV15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/YangRMDV15,
  author       = {Bohan Yang and
                  Vladimir Rozic and
                  Nele Mentens and
                  Wim Dehaene and
                  Ingrid Verbauwhede},
  editor       = {Wolfgang Nebel and
                  David Atienza},
  title        = {Embedded {HW/SW} platform for on-the-fly testing of true random number
                  generators},
  booktitle    = {Proceedings of the 2015 Design, Automation {\&} Test in Europe
                  Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March
                  9-13, 2015},
  pages        = {345--350},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {http://dl.acm.org/citation.cfm?id=2755831},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/YangRMDV15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/KarageorgosSRRT15,
  author       = {Ioannis Karageorgos and
                  Michele Stucchi and
                  Praveen Raghavan and
                  Julien Ryckaert and
                  Zsolt Tokei and
                  Diederik Verkest and
                  Rogier Baert and
                  Sushil Sakhare and
                  Wim Dehaene},
  editor       = {Wolfgang Nebel and
                  David Atienza},
  title        = {Impact of interconnect multiple-patterning variability on SRAMs},
  booktitle    = {Proceedings of the 2015 Design, Automation {\&} Test in Europe
                  Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March
                  9-13, 2015},
  pages        = {609--612},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {http://dl.acm.org/citation.cfm?id=2755891},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/KarageorgosSRRT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/emccompo/BaranDPS15,
  author       = {Muhammet Burak Baran and
                  Wim Dehaene and
                  Hugo Pues and
                  Kristof Stijnen},
  title        = {Case study on the differences between {EMI} resilience of analog ICs
                  against continuous wave, modulated and transient disturbances},
  booktitle    = {10th International Workshop on the Electromagnetic Compatibility of
                  Integrated Circuits, {EMC} Compo 2015, Edinburgh, UK, November 10-13,
                  2015},
  pages        = {207--211},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/EMCCompo.2015.7358358},
  doi          = {10.1109/EMCCOMPO.2015.7358358},
  timestamp    = {Thu, 10 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/emccompo/BaranDPS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/KulkarniKSSDDR15,
  author       = {Shailesh Kulkarni and
                  Ibrahim Kazi and
                  David Seebacher and
                  Peter Singerl and
                  Franz Dielacher and
                  Wim Dehaene and
                  Patrick Reynaert},
  editor       = {Wolfgang Pribyl and
                  Franz Dielacher and
                  Gernot Hueber},
  title        = {Multi-standard wideband {OFDM} {RF-PWM} transmitter in 40nm {CMOS}},
  booktitle    = {{ESSCIRC} Conference 2015 - 41\({}^{\mbox{st}}\) European Solid-State
                  Circuits Conference, Graz, Austria, September 14-18, 2015},
  pages        = {88--91},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ESSCIRC.2015.7313835},
  doi          = {10.1109/ESSCIRC.2015.7313835},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/KulkarniKSSDDR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/Dehaene15,
  author       = {Wim Dehaene},
  title        = {{SC1:} Circuit design in advanced {CMOS} technologies: How to design
                  with lower supply voltages},
  booktitle    = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2015, Digest of Technical Papers, San Francisco, CA, USA, February
                  22-26, 2015},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISSCC.2015.7063154},
  doi          = {10.1109/ISSCC.2015.7063154},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/Dehaene15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nvmsa/AppeltansCRVPD15,
  author       = {Raf Appeltans and
                  Stefan Cosemans and
                  Praveen Raghavan and
                  Diederik Verkest and
                  Liesbet Van der Perre and
                  Wim Dehaene},
  title        = {{STT-MRAM} cell design with partial source line planes: improving
                  the trade-off between area and series resistance},
  booktitle    = {{IEEE} Non-Volatile Memory System and Applications Symposium, {NVMSA}
                  2015, Hong Kong, China, August 19-21, 2015},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/NVMSA.2015.7304355},
  doi          = {10.1109/NVMSA.2015.7304355},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nvmsa/AppeltansCRVPD15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jaihc/RedantD14,
  author       = {Tom Redant and
                  Wim Dehaene},
  title        = {An MLS-Prony implementation for a cm-Precise Super 10 m range 802.15.3c-PHY
                  60 GHz positioning application},
  journal      = {J. Ambient Intell. Humaniz. Comput.},
  volume       = {5},
  number       = {5},
  pages        = {623--634},
  year         = {2014},
  url          = {https://doi.org/10.1007/s12652-013-0189-4},
  doi          = {10.1007/S12652-013-0189-4},
  timestamp    = {Mon, 10 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jaihc/RedantD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/NuytsRD14,
  author       = {Pieter A. J. Nuyts and
                  Patrick Reynaert and
                  Wim Dehaene},
  title        = {Frequency-Domain Analysis of Digital PWM-Based {RF} Modulators for
                  Flexible Wireless Transmitters},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {61-I},
  number       = {1},
  pages        = {238--246},
  year         = {2014},
  url          = {https://doi.org/10.1109/TCSI.2013.2264691},
  doi          = {10.1109/TCSI.2013.2264691},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/NuytsRD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/SmedtGD14,
  author       = {Valentijn De Smedt and
                  Georges G. E. Gielen and
                  Wim Dehaene},
  title        = {Transient Behavior and Phase Noise Performance of Pulsed-Harmonic
                  Oscillators},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {61-I},
  number       = {7},
  pages        = {2119--2128},
  year         = {2014},
  url          = {https://doi.org/10.1109/TCSI.2014.2304670},
  doi          = {10.1109/TCSI.2014.2304670},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcas/SmedtGD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/WalravensD14,
  author       = {Cedric Walravens and
                  Wim Dehaene},
  title        = {Low-Power Digital Signal Processor Architecture for Wireless Sensor
                  Nodes},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {22},
  number       = {2},
  pages        = {313--321},
  year         = {2014},
  url          = {https://doi.org/10.1109/TVLSI.2013.2238645},
  doi          = {10.1109/TVLSI.2013.2238645},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/WalravensD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ReyserhoveRD14,
  author       = {Hans Reyserhove and
                  Nele Reynders and
                  Wim Dehaene},
  title        = {Ultra-low voltage datapath blocks in 28nm {UTBB} {FD-SOI}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {49--52},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008857},
  doi          = {10.1109/ASSCC.2014.7008857},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ReyserhoveRD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/IndirayantiAVDR14,
  author       = {Paramartha Indirayanti and
                  Tuba Ayhan and
                  Marian Verhelst and
                  Wim Dehaene and
                  Patrick Reynaert},
  title        = {A 60GHz transmitter in 40nm {CMOS} achieving mm-precision for discrete-carrier
                  localization},
  booktitle    = {{ESSCIRC} 2014 - 40th European Solid State Circuits Conference, Venice
                  Lido, Italy, September 22-26, 2014},
  pages        = {291--294},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ESSCIRC.2014.6942079},
  doi          = {10.1109/ESSCIRC.2014.6942079},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/IndirayantiAVDR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/eusipco/AyhanDV14,
  author       = {Tuba Ayhan and
                  Wim Dehaene and
                  Marian Verhelst},
  title        = {A 128{\unicode{8758}}2048/1536 point {FFT} hardware implementation
                  with output pruning},
  booktitle    = {22nd European Signal Processing Conference, {EUSIPCO} 2014, Lisbon,
                  Portugal, September 1-5, 2014},
  pages        = {266--270},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://ieeexplore.ieee.org/document/6952032/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/eusipco/AyhanDV14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/HartmannKARPD14,
  author       = {Matthias Hartmann and
                  Halil K{\"{u}}kner and
                  Prashant Agrawal and
                  Praveen Raghavan and
                  Liesbet Van der Perre and
                  Wim Dehaene},
  editor       = {Joseph R. Cavallaro and
                  Tong Zhang and
                  Alex K. Jones and
                  Hai (Helen) Li},
  title        = {Modelling and mitigation of time-zero variability in sub-16nm finfet-based
                  {STT-MRAM} memories},
  booktitle    = {Great Lakes Symposium on {VLSI} 2014, {GLSVLSI} '14, Houston, TX,
                  {USA} - May 21 - 23, 2014},
  pages        = {243--244},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2591513.2591573},
  doi          = {10.1145/2591513.2591573},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/HartmannKARPD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RooseSVSGRD14,
  author       = {Florian De Roose and
                  Valentijn De Smedt and
                  Wouter Volkaerts and
                  Michiel Steyaert and
                  Georges G. E. Gielen and
                  Patrick Reynaert and
                  Wim Dehaene},
  title        = {Design of a frequency reference based on a PVT-independent transmission
                  line delay},
  booktitle    = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014,
                  Melbourne, Victoria, Australia, June 1-5, 2014},
  pages        = {1772--1775},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISCAS.2014.6865499},
  doi          = {10.1109/ISCAS.2014.6865499},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RooseSVSGRD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/RedantACVRD14,
  author       = {Tom Redant and
                  Tuba Ayhan and
                  Nico De Clercq and
                  Marian Verhelst and
                  Patrick Reynaert and
                  Wim Dehaene},
  title        = {20.1 {A} 40nm {CMOS} receiver for 60GHz discrete-carrier indoor localization
                  achieving mm-precision at 4m range},
  booktitle    = {2014 {IEEE} International Conference on Solid-State Circuits Conference,
                  {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA,
                  February 9-13, 2014},
  pages        = {342--343},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSCC.2014.6757461},
  doi          = {10.1109/ISSCC.2014.6757461},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/RedantACVRD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ReyndersD14,
  author       = {Nele Reynders and
                  Wim Dehaene},
  title        = {27.3 {A} 210mV 5MHz variation-resilient near-threshold {JPEG} encoder
                  in 40nm {CMOS}},
  booktitle    = {2014 {IEEE} International Conference on Solid-State Circuits Conference,
                  {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA,
                  February 9-13, 2014},
  pages        = {456--457},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSCC.2014.6757511},
  doi          = {10.1109/ISSCC.2014.6757511},
  timestamp    = {Mon, 10 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ReyndersD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/MynySRBKSOMPHGG14,
  author       = {Kris Myny and
                  Steve Smout and
                  Maarten Rockele and
                  Ajay Bhoolokam and
                  Tung Huei Ke and
                  Soeren Steudel and
                  Koji Obata and
                  B. M. Mar{\'{\i}}n{-}Santib{\'{a}}{\~{n}}ez and
                  Duy{-}Vu Pham and
                  Arne Hoppe and
                  Aashini Gulati and
                  F. Rodr{\'{\i}}guez Gonz{\'{a}}lez and
                  Brian Cobb and
                  Gerwin H. Gelinck and
                  Jan Genoe and
                  Wim Dehaene and
                  Paul Heremans},
  title        = {30.1 8b Thin-film microprocessor using a hybrid oxide-organic complementary
                  technology with inkjet-printed P\({}^{\mbox{2}}\)ROM memory},
  booktitle    = {2014 {IEEE} International Conference on Solid-State Circuits Conference,
                  {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA,
                  February 9-13, 2014},
  pages        = {486--487},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSCC.2014.6757523},
  doi          = {10.1109/ISSCC.2014.6757523},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/MynySRBKSOMPHGG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/siu/AyhanVD14,
  author       = {Tuba Ayhan and
                  Marian Verhelst and
                  Wim Dehaene},
  title        = {Impact of multipath fading on a precise 60 GHz indoor locationing
                  system},
  booktitle    = {2014 22nd Signal Processing and Communications Applications Conference
                  (SIU), Trabzon, Turkey, April 23-25, 2014},
  pages        = {1841--1844},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/SIU.2014.6830611},
  doi          = {10.1109/SIU.2014.6830611},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/siu/AyhanVD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/OrtmannsFKDT13,
  author       = {Maurits Ortmanns and
                  Timothy C. Fischer and
                  Uming Ko and
                  Wim Dehaene and
                  Yasuhiro Takai},
  title        = {Introduction to the Special Issue on the 2012 {IEEE} International
                  Solid-State Circuits Conference},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {48},
  number       = {1},
  pages        = {3--7},
  year         = {2013},
  url          = {https://doi.org/10.1109/JSSC.2012.2225311},
  doi          = {10.1109/JSSC.2012.2225311},
  timestamp    = {Wed, 24 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/OrtmannsFKDT13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/RethyDSDG13,
  author       = {Jelle Van Rethy and
                  Hans Danneels and
                  Valentijn De Smedt and
                  Wim Dehaene and
                  Georges G. E. Gielen},
  title        = {Supply-Noise-Resilient Design of a BBPLL-Based Force-Balanced Wheatstone
                  Bridge Interface in 130-nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {48},
  number       = {11},
  pages        = {2618--2627},
  year         = {2013},
  url          = {https://doi.org/10.1109/JSSC.2013.2274831},
  doi          = {10.1109/JSSC.2013.2274831},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/RethyDSDG13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/RedantD13,
  author       = {Tom Redant and
                  Wim Dehaene},
  title        = {Joint Estimation of Propagation Delay Dispersion and Time of Arrival
                  in a 40-nm {CMOS} Comparator Bank for Time-Based Receivers},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {60-II},
  number       = {2},
  pages        = {76--80},
  year         = {2013},
  url          = {https://doi.org/10.1109/TCSII.2012.2235736},
  doi          = {10.1109/TCSII.2012.2235736},
  timestamp    = {Wed, 27 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/RedantD13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/RedantNRD13,
  author       = {Tom Redant and
                  Pieter A. J. Nuyts and
                  Patrick Reynaert and
                  Wim Dehaene},
  title        = {Presilicon Circuit-Aware Linear Least Squares Spectral Analysis for
                  Time-Based Data Converters},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {60-II},
  number       = {11},
  pages        = {751--755},
  year         = {2013},
  url          = {https://doi.org/10.1109/TCSII.2013.2281905},
  doi          = {10.1109/TCSII.2013.2281905},
  timestamp    = {Wed, 27 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/RedantNRD13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/te/UhsadelUDKBVD13,
  author       = {Leif Uhsadel and
                  Markus Ullrich and
                  Amitabh Das and
                  Dusko Karaklajic and
                  Josep Balasch and
                  Ingrid Verbauwhede and
                  Wim Dehaene},
  title        = {Teaching {HW/SW} Co-Design With a Public Key Cryptography Application},
  journal      = {{IEEE} Trans. Educ.},
  volume       = {56},
  number       = {4},
  pages        = {478--483},
  year         = {2013},
  url          = {https://doi.org/10.1109/TE.2013.2257785},
  doi          = {10.1109/TE.2013.2257785},
  timestamp    = {Thu, 16 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/te/UhsadelUDKBVD13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/RethyDSDG13,
  author       = {Jelle Van Rethy and
                  Hans Danneels and
                  Valentijn De Smedt and
                  Wim Dehaene and
                  Georges G. E. Gielen},
  editor       = {Enrico Macii},
  title        = {A low-power and low-voltage BBPLL-based sensor interface in 130nm
                  {CMOS} for wireless sensor networks},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France,
                  March 18-22, 2013},
  pages        = {1431--1435},
  publisher    = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}},
  year         = {2013},
  url          = {https://doi.org/10.7873/DATE.2013.292},
  doi          = {10.7873/DATE.2013.292},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/RethyDSDG13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/HartmannRPAD13,
  author       = {Matthias Hartmann and
                  Praveen Raghavan and
                  Liesbet Van der Perre and
                  Prashant Agrawal and
                  Wim Dehaene},
  title        = {Memristor-Based (ReRAM) Data Memory Architecture in {ASIP} Design},
  booktitle    = {2013 Euromicro Conference on Digital System Design, {DSD} 2013, Los
                  Alamitos, CA, USA, September 4-6, 2013},
  pages        = {795--798},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/DSD.2013.138},
  doi          = {10.1109/DSD.2013.138},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/HartmannRPAD13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/RooseleerD13,
  author       = {Bram Rooseleer and
                  Wim Dehaene},
  title        = {A 40 nm, 454MHz 114 fJ/bit area-efficient {SRAM} memory with integrated
                  charge pump},
  booktitle    = {{ESSCIRC} 2013 - Proceedings of the 39th European Solid-State Circuits
                  Conference, Bucharest, Romania, September 16-20, 2013},
  pages        = {201--204},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ESSCIRC.2013.6649107},
  doi          = {10.1109/ESSCIRC.2013.6649107},
  timestamp    = {Fri, 23 Jul 2021 15:40:30 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/RooseleerD13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/SmedtGD13,
  author       = {Valentijn De Smedt and
                  Georges G. E. Gielen and
                  Wim Dehaene},
  title        = {A 40nm-CMOS, 72 {\(\mathrm{\mu}\)}W injection-locked timing reference
                  and 1.8 Mbit/s coordination receiver for wireless sensor networks},
  booktitle    = {{ESSCIRC} 2013 - Proceedings of the 39th European Solid-State Circuits
                  Conference, Bucharest, Romania, September 16-20, 2013},
  pages        = {307--310},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ESSCIRC.2013.6649134},
  doi          = {10.1109/ESSCIRC.2013.6649134},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/SmedtGD13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/eurocon/VerveckkenGHBLRTSIKCDGPVHBDD13,
  author       = {Jan Verveckken and
                  Frederik Geth and
                  Borb{\'{a}}la Hunyadi and
                  Jef Beerten and
                  Niels Leemput and
                  Juan Van Roy and
                  Pieter Tielens and
                  Valentijn De Smedt and
                  Sandro Iacovella and
                  Ninah Koolen and
                  Hans De Clercq and
                  Johan Driesen and
                  Georges G. E. Gielen and
                  Robert Puers and
                  Joos Vandewalle and
                  Sabine Van Huffel and
                  Ronnie Belmans and
                  Geert Deconinck and
                  Wim Dehaene},
  title        = {Developing engineering-oriented educational workshops within a student
                  branch},
  booktitle    = {Proceedings of Eurocon 2013, International Conference on Computer
                  as a Tool, Zagreb, Croatia, July 1-4, 2013},
  pages        = {933--940},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/EUROCON.2013.6625094},
  doi          = {10.1109/EUROCON.2013.6625094},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/eurocon/VerveckkenGHBLRTSIKCDGPVHBDD13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/DehaeneS13,
  author       = {Wim Dehaene and
                  Masaya Sumita},
  title        = {Session 24 overview: Energy-aware digital design},
  booktitle    = {2013 {IEEE} International Solid-State Circuits Conference - Digest
                  of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February
                  17-21, 2013},
  pages        = {418--419},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISSCC.2013.6487854},
  doi          = {10.1109/ISSCC.2013.6487854},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/DehaeneS13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sips/AyhanDV13,
  author       = {Tuba Ayhan and
                  Wim Dehaene and
                  Marian Verhelst},
  title        = {A method for using sub-Nyquist sampling for ultra low-power positioning
                  systems},
  booktitle    = {{IEEE} Workshop on Signal Processing Systems, SiPS 2013, Taipei City,
                  Taiwan, October 16-18, 2013},
  pages        = {100--105},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/SiPS.2013.6674488},
  doi          = {10.1109/SIPS.2013.6674488},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sips/AyhanDV13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MynyVGGDH12,
  author       = {Kris Myny and
                  Erik van Veenendaal and
                  Gerwin H. Gelinck and
                  Jan Genoe and
                  Wim Dehaene and
                  Paul Heremans},
  title        = {An 8-Bit, 40-Instructions-Per-Second Organic Microprocessor on Plastic
                  Foil},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {284--291},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2170635},
  doi          = {10.1109/JSSC.2011.2170635},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MynyVGGDH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/NuytsSDRD12,
  author       = {Pieter A. J. Nuyts and
                  Peter Singerl and
                  Franz Dielacher and
                  Patrick Reynaert and
                  Wim Dehaene},
  title        = {A Fully Digital Delay Line Based GHz Range Multimode Transmitter Front-End
                  in 65-nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1681--1692},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191032},
  doi          = {10.1109/JSSC.2012.2191032},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/NuytsSDRD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/RooseleerCD12,
  author       = {Bram Rooseleer and
                  Stefan Cosemans and
                  Wim Dehaene},
  title        = {A 65 nm, 850 MHz, 256 kbit, 4.3 pJ/access, Ultra Low Leakage Power
                  Memory Using Dynamic Cell Stability and a Dual Swing Data Link},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1784--1796},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191316},
  doi          = {10.1109/JSSC.2012.2191316},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/RooseleerCD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/SharmaCAHCD12,
  author       = {Vibhu Sharma and
                  Stefan Cosemans and
                  Maryam Ashouei and
                  Jos Huisken and
                  Francky Catthoor and
                  Wim Dehaene},
  title        = {Ultra Low-Energy {SRAM} Design for Smart Ubiquitous Sensors},
  journal      = {{IEEE} Micro},
  volume       = {32},
  number       = {5},
  pages        = {10--24},
  year         = {2012},
  url          = {https://doi.org/10.1109/MM.2012.58},
  doi          = {10.1109/MM.2012.58},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/SharmaCAHCD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mr/PoliakovBPMGVHD12,
  author       = {Pavel Poliakov and
                  Pieter Blomme and
                  Alessandro Vaglio Pret and
                  Miguel Corbalan Miranda and
                  Roel Gronheid and
                  Diederik Verkest and
                  Jan Van Houdt and
                  Wim Dehaene},
  title        = {Trades-off between lithography line edge roughness and error-correcting
                  codes requirements for {NAND} Flash memories},
  journal      = {Microelectron. Reliab.},
  volume       = {52},
  number       = {3},
  pages        = {525--529},
  year         = {2012},
  url          = {https://doi.org/10.1016/j.microrel.2011.09.037},
  doi          = {10.1016/J.MICROREL.2011.09.037},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mr/PoliakovBPMGVHD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/ZhouD12,
  author       = {Junfeng Zhou and
                  Wim Dehaene},
  title        = {Fully Integrated {CMOS} EME-Suppressing Current Regulator for Automotive
                  Electronics},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {59-I},
  number       = {2},
  pages        = {266--275},
  year         = {2012},
  url          = {https://doi.org/10.1109/TCSI.2011.2162467},
  doi          = {10.1109/TCSI.2011.2162467},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/ZhouD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/NuytsFDR12,
  author       = {Pieter A. J. Nuyts and
                  Brecht Fran{\c{c}}ois and
                  Wim Dehaene and
                  Patrick Reynaert},
  title        = {A {CMOS} Burst-Mode Transmitter With Watt-Level {RF} {PA} and Flexible
                  Fully Digital Front-End},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {59-II},
  number       = {10},
  pages        = {613--617},
  year         = {2012},
  url          = {https://doi.org/10.1109/TCSII.2012.2213365},
  doi          = {10.1109/TCSII.2012.2213365},
  timestamp    = {Wed, 27 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/NuytsFDR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/ReyndersD12,
  author       = {Nele Reynders and
                  Wim Dehaene},
  title        = {Variation-Resilient Building Blocks for Ultra-Low-Energy Sub-Threshold
                  Design},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {59-II},
  number       = {12},
  pages        = {898--902},
  year         = {2012},
  url          = {https://doi.org/10.1109/TCSII.2012.2231022},
  doi          = {10.1109/TCSII.2012.2231022},
  timestamp    = {Wed, 27 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/ReyndersD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/WalravensD12,
  author       = {Cedric Walravens and
                  Wim Dehaene},
  editor       = {Wolfgang Rosenstiel and
                  Lothar Thiele},
  title        = {Design of a low-energy data processing architecture for {WSN} nodes},
  booktitle    = {2012 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012},
  pages        = {570--573},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DATE.2012.6176534},
  doi          = {10.1109/DATE.2012.6176534},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/WalravensD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/SharmaCAHCD12,
  author       = {Vibhu Sharma and
                  Stefan Cosemans and
                  Maryam Ashouei and
                  Jos Huisken and
                  Francky Catthoor and
                  Wim Dehaene},
  editor       = {Wolfgang Rosenstiel and
                  Lothar Thiele},
  title        = {Ultra low power litho friendly local assist circuitry for variability
                  resilient 8T {SRAM}},
  booktitle    = {2012 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012},
  pages        = {1042--1047},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DATE.2012.6176649},
  doi          = {10.1109/DATE.2012.6176649},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/SharmaCAHCD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/ClercqBDS12,
  author       = {Nico De Clercq and
                  Tom Van Breussegem and
                  Wim Dehaene and
                  Michiel Steyaert},
  title        = {Dual-output capacitive {DC-DC} converter with power distribution regulator
                  in 90 nm {CMOS}},
  booktitle    = {Proceedings of the 38th European Solid-State Circuit conference, {ESSCIRC}
                  2012, Bordeaux, France, September 17-21, 2012},
  pages        = {169--172},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ESSCIRC.2012.6341285},
  doi          = {10.1109/ESSCIRC.2012.6341285},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/ClercqBDS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/SmedtGD12,
  author       = {Valentijn De Smedt and
                  Georges G. E. Gielen and
                  Wim Dehaene},
  title        = {A 127 {\(\mu\)}W exact timing reference for Wireless Sensor Networks
                  based on injection locking},
  booktitle    = {Proceedings of the 38th European Solid-State Circuit conference, {ESSCIRC}
                  2012, Bordeaux, France, September 17-21, 2012},
  pages        = {262--264},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ESSCIRC.2012.6341335},
  doi          = {10.1109/ESSCIRC.2012.6341335},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/SmedtGD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/ReyndersD12,
  author       = {Nele Reynders and
                  Wim Dehaene},
  title        = {Variation-resilient sub-threshold circuit solutions for ultra-low-power
                  Digital Signal Processors with 10MHz clock frequency},
  booktitle    = {Proceedings of the 38th European Solid-State Circuit conference, {ESSCIRC}
                  2012, Bordeaux, France, September 17-21, 2012},
  pages        = {474--477},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ESSCIRC.2012.6341358},
  doi          = {10.1109/ESSCIRC.2012.6341358},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/ReyndersD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/RozicDV12,
  author       = {Vladimir Rozic and
                  Wim Dehaene and
                  Ingrid Verbauwhede},
  title        = {Design solutions for securing {SRAM} cell against power analysis},
  booktitle    = {2012 {IEEE} International Symposium on Hardware-Oriented Security
                  and Trust, {HOST} 2012, San Francisco, CA, USA, June 3-4, 2012},
  pages        = {122--127},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/HST.2012.6224331},
  doi          = {10.1109/HST.2012.6224331},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/RozicDV12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/IndirayantiVRD12,
  author       = {Paramartha Indirayanti and
                  Wouter Volkaerts and
                  Patrick Reynaert and
                  Wim Dehaene},
  title        = {Picosecond pulse generation with nonlinear transmission lines in 90-nm
                  {CMOS} for mm-wave imaging applications},
  booktitle    = {19th {IEEE} International Conference on Electronics, Circuits and
                  Systems, {ICECS} 2012, Seville, Spain, December 9-12, 2012},
  pages        = {885--888},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICECS.2012.6463520},
  doi          = {10.1109/ICECS.2012.6463520},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/IndirayantiVRD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/MynyRCPSBWHFPGGDH12,
  author       = {Kris Myny and
                  Maarten Rockele and
                  Adrian Vaisman Chasin and
                  Duy{-}Vu Pham and
                  J{\"{u}}rgen Steiger and
                  Silviu Botnaras and
                  Dennis Weber and
                  Bernhard Herold and
                  J{\"{u}}rgen Ficker and
                  Bas van der Putten and
                  Gerwin H. Gelinck and
                  Jan Genoe and
                  Wim Dehaene and
                  Paul Heremans},
  title        = {Bidirectional communication in an {HF} hybrid organic/solution-processed
                  metal-oxide {RFID} tag},
  booktitle    = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2012, San Francisco, CA, USA, February 19-23, 2012},
  pages        = {312--314},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSCC.2012.6177027},
  doi          = {10.1109/ISSCC.2012.6177027},
  timestamp    = {Wed, 24 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/MynyRCPSBWHFPGGDH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/HoofDLDDJLHY12,
  author       = {Chris Van Hoof and
                  Wim Dehaene and
                  Wentai Liu and
                  Timothy Denison and
                  Minkyu Je and
                  Hoi{-}Jun Yoo},
  title        = {Bioelectronics for sustainable healthcare},
  booktitle    = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2012, San Francisco, CA, USA, February 19-23, 2012},
  pages        = {506--507},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSCC.2012.6177117},
  doi          = {10.1109/ISSCC.2012.6177117},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/HoofDLDDJLHY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/patmos/WeckxRMD12,
  author       = {Pieter Weckx and
                  Nele Reynders and
                  Ilse de Moffarts and
                  Wim Dehaene},
  editor       = {Jos{\'{e}} L. Ayala and
                  Delong Shang and
                  Alex Yakovlev},
  title        = {Design of a 150 mV Supply, 2 MIPS, 90nm CMOS, Ultra-Low-Power Microprocessor},
  booktitle    = {Integrated Circuit and System Design. Power and Timing Modeling, Optimization
                  and Simulation, 22nd International Workshop, {PATMOS} 2012, Newcastle
                  upon Tyne, UK, September 4-6, 2012, Revised Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {7606},
  pages        = {175--184},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-36157-9\_18},
  doi          = {10.1007/978-3-642-36157-9\_18},
  timestamp    = {Tue, 14 May 2019 10:00:54 +0200},
  biburl       = {https://dblp.org/rec/conf/patmos/WeckxRMD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/peccs/RedantD12,
  author       = {Tom Redant and
                  Wim Dehaene},
  editor       = {C{\'{e}}sar Benavente{-}Peces and
                  Falah H. Ali and
                  Joaquim Filipe},
  title        = {High Resolution Time-of-arrival for a Cm-precise Super 10 Meter 802.15.3C-based
                  60GHz {OFDM} Positioning Application},
  booktitle    = {{PECCS} 2012 - Proceedings of the 2nd International Conference on
                  Pervasive Embedded Computing and Communication Systems, Rome, Italy,
                  24-26 February, 2012},
  pages        = {271--277},
  publisher    = {SciTePress},
  year         = {2012},
  timestamp    = {Sun, 06 May 2012 14:26:10 +0200},
  biburl       = {https://dblp.org/rec/conf/peccs/RedantD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sips/AyhanRVD12,
  author       = {Tuba Ayhan and
                  Tom Redant and
                  Marian Verhelst and
                  Wim Dehaene},
  title        = {Towards a Fast and Hardware Efficient Sub-MM Precision Ranging System},
  booktitle    = {2012 {IEEE} Workshop on Signal Processing Systems, Quebec City, QC,
                  Canada, October 17-19, 2012},
  pages        = {203--208},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SiPS.2012.20},
  doi          = {10.1109/SIPS.2012.20},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/sips/AyhanRVD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/PlasLLMOTTLSKVCVSWLPBMCROPBORWDNAPABDTBM11,
  author       = {Geert Van der Plas and
                  Paresh Limaye and
                  Igor Loi and
                  Abdelkarim Mercha and
                  Herman Oprins and
                  Cristina Torregiani and
                  Steven Thijs and
                  Dimitri Linten and
                  Michele Stucchi and
                  Guruprasad Katti and
                  Dimitrios Velenis and
                  Vladimir Cherman and
                  Bart Vandevelde and
                  Veerle Simons and
                  Ingrid De Wolf and
                  Riet Labie and
                  Dan Perry and
                  Stephane Bronckers and
                  Nikolaos Minas and
                  Miro Cupac and
                  Wouter Ruythooren and
                  Jan Van Olmen and
                  Alain Phommahaxay and
                  Muriel de Potter de ten Broeck and
                  Ann Opdebeeck and
                  Michal Rakowski and
                  Bart De Wachter and
                  Morin Dehan and
                  Marc Nelis and
                  Rahul Agarwal and
                  Antonio Pullini and
                  Federico Angiolini and
                  Luca Benini and
                  Wim Dehaene and
                  Youssef Travaly and
                  Eric Beyne and
                  Paul Marchal},
  title        = {Design Issues and Considerations for Low-Cost 3-D {TSV} {IC} Technology},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {46},
  number       = {1},
  pages        = {293--307},
  year         = {2011},
  url          = {https://doi.org/10.1109/JSSC.2010.2074070},
  doi          = {10.1109/JSSC.2010.2074070},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/PlasLLMOTTLSKVCVSWLPBMCROPBORWDNAPABDTBM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MynyBAGGDH11,
  author       = {Kris Myny and
                  Monique J. Beenhakkers and
                  Nick A. J. M. van Aerle and
                  Gerwin H. Gelinck and
                  Jan Genoe and
                  Wim Dehaene and
                  Paul Heremans},
  title        = {Unipolar Organic Transistor Circuits Made Robust by Dual-Gate Technology},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {46},
  number       = {5},
  pages        = {1223--1230},
  year         = {2011},
  url          = {https://doi.org/10.1109/JSSC.2011.2116490},
  doi          = {10.1109/JSSC.2011.2116490},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MynyBAGGDH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SharmaCAHCD11,
  author       = {Vibhu Sharma and
                  Stefan Cosemans and
                  Maryam Ashouei and
                  Jos Huisken and
                  Francky Catthoor and
                  Wim Dehaene},
  title        = {A 4.4 pJ/Access 80 MHz, 128 kbit Variability Resilient {SRAM} With
                  Multi-Sized Sense Amplifier Redundancy},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {46},
  number       = {10},
  pages        = {2416--2430},
  year         = {2011},
  url          = {https://doi.org/10.1109/JSSC.2011.2159056},
  doi          = {10.1109/JSSC.2011.2159056},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SharmaCAHCD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mr/PoliakovBCHD11,
  author       = {Pavel Poliakov and
                  Pieter Blomme and
                  Miguel Corbalan and
                  Jan Van Houdt and
                  Wim Dehaene},
  title        = {Cross-cell interference variability aware model of fully planar {NAND}
                  Flash memory including line edge roughness},
  journal      = {Microelectron. Reliab.},
  volume       = {51},
  number       = {5},
  pages        = {919--924},
  year         = {2011},
  url          = {https://doi.org/10.1016/j.microrel.2010.12.010},
  doi          = {10.1016/J.MICROREL.2010.12.010},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mr/PoliakovBCHD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/RedantDSD11,
  author       = {Tom Redant and
                  Jorg Daniels and
                  Michiel Steyaert and
                  Wim Dehaene},
  title        = {Multiple Event Time-to-Digital Conversion-Based Pulse Digitization
                  for a 250 MHz Pulse Radio Ranging Application},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {58-I},
  number       = {11},
  pages        = {2614--2622},
  year         = {2011},
  url          = {https://doi.org/10.1109/TCSI.2011.2143050},
  doi          = {10.1109/TCSI.2011.2143050},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/RedantDSD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/SmedtGD11,
  author       = {Valentijn De Smedt and
                  Georges G. E. Gielen and
                  Wim Dehaene},
  title        = {A 0.6V to 1.6V, 46{\(\mu\)}W voltage and temperature independent 48
                  MHz pulsed {LC} oscillator for {RFID} tags},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2011, Jeju,
                  South Korea, November 14-16, 2011},
  pages        = {109--112},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ASSCC.2011.6123616},
  doi          = {10.1109/ASSCC.2011.6123616},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/SmedtGD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ReyndersD11,
  author       = {Nele Reynders and
                  Wim Dehaene},
  title        = {A 190mV supply, 10MHz, 90nm CMOS, pipelined sub-threshold adder using
                  variation-resilient circuit techniques},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2011, Jeju,
                  South Korea, November 14-16, 2011},
  pages        = {113--116},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ASSCC.2011.6123617},
  doi          = {10.1109/ASSCC.2011.6123617},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ReyndersD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/RedantSD11,
  author       = {Tom Redant and
                  Frederic Stubbe and
                  Wim Dehaene},
  title        = {A low power time-of-arrival ranging front end based on a 8-channel
                  2.2mW, 53ps single-shot-precision Time-to-Digital converter},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2011, Jeju,
                  South Korea, November 14-16, 2011},
  pages        = {321--324},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ASSCC.2011.6123578},
  doi          = {10.1109/ASSCC.2011.6123578},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/RedantSD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/HeremansDSMMGGV11,
  author       = {Paul Heremans and
                  Wim Dehaene and
                  Michiel Steyaert and
                  Kris Myny and
                  Hagen Marien and
                  Jan Genoe and
                  Gerwin H. Gelinck and
                  Erik van Veenendaal},
  title        = {Circuit design in organic semiconductor technologies},
  booktitle    = {Proceedings of the 37th European Solid-State Circuits Conference,
                  {ESSCIRC} 2011, Helsinki, Finland, Sept. 12-16, 2011},
  pages        = {5--12},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ESSCIRC.2011.6044907},
  doi          = {10.1109/ESSCIRC.2011.6044907},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/HeremansDSMMGGV11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/NuytsSDRD11,
  author       = {Pieter A. J. Nuyts and
                  Peter Singerl and
                  Franz Dielacher and
                  Patrick Reynaert and
                  Wim Dehaene},
  title        = {A fully digital delay-line based GHz-range multimode transmitter front-end
                  in 65-nm {CMOS}},
  booktitle    = {Proceedings of the 37th European Solid-State Circuits Conference,
                  {ESSCIRC} 2011, Helsinki, Finland, Sept. 12-16, 2011},
  pages        = {395--398},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ESSCIRC.2011.6044990},
  doi          = {10.1109/ESSCIRC.2011.6044990},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/NuytsSDRD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/RooseleerCD11,
  author       = {Bram Rooseleer and
                  Stefan Cosemans and
                  Wim Dehaene},
  title        = {A 65 nm, 850 MHz, 256 kbit, 4.3 pJ/access, ultra low leakage power
                  memory using dynamic cell stability and a dual swing data link},
  booktitle    = {Proceedings of the 37th European Solid-State Circuits Conference,
                  {ESSCIRC} 2011, Helsinki, Finland, Sept. 12-16, 2011},
  pages        = {519--522},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ESSCIRC.2011.6044936},
  doi          = {10.1109/ESSCIRC.2011.6044936},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/RooseleerCD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/VignonCD11,
  author       = {Anselme Vignon and
                  Stefan Cosemans and
                  Wim Dehaene},
  title        = {A low leakage 500MHz 2T embedded dynamic memory with integrated semi-transparent
                  refresh},
  booktitle    = {Proceedings of the 37th European Solid-State Circuits Conference,
                  {ESSCIRC} 2011, Helsinki, Finland, Sept. 12-16, 2011},
  pages        = {523--526},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ESSCIRC.2011.6044937},
  doi          = {10.1109/ESSCIRC.2011.6044937},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/VignonCD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/SharmaCAHCD11,
  author       = {Vibhu Sharma and
                  Stefan Cosemans and
                  Maryam Ashouei and
                  Jos Huisken and
                  Francky Catthoor and
                  Wim Dehaene},
  title        = {8T {SRAM} with Mimicked Negative Bit-lines and Charge Limited Sequential
                  sense amplifier for wireless sensor nodes},
  booktitle    = {Proceedings of the 37th European Solid-State Circuits Conference,
                  {ESSCIRC} 2011, Helsinki, Finland, Sept. 12-16, 2011},
  pages        = {531--534},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ESSCIRC.2011.6044939},
  doi          = {10.1109/ESSCIRC.2011.6044939},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/SharmaCAHCD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DehaeneGDDMNHW11,
  author       = {Wim Dehaene and
                  Georges G. E. Gielen and
                  Geert Deconinck and
                  Johan Driesen and
                  Marc Moonen and
                  Bart Nauwelaers and
                  Chris Van Hoof and
                  Patrick Wambacq},
  title        = {Circuits and systems engineering education through interdisciplinary
                  team-based design projects},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {1195--1198},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937783},
  doi          = {10.1109/ISCAS.2011.5937783},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DehaeneGDDMNHW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/MynyVGGDH11,
  author       = {Kris Myny and
                  Erik van Veenendaal and
                  Gerwin H. Gelinck and
                  Jan Genoe and
                  Wim Dehaene and
                  Paul Heremans},
  title        = {An 8b organic microprocessor on plastic foil},
  booktitle    = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011,
                  Digest of Technical Papers, San Francisco, CA, USA, 20-24 February,
                  2011},
  pages        = {322--324},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSCC.2011.5746337},
  doi          = {10.1109/ISSCC.2011.5746337},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/MynyVGGDH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HelleputteVDG10,
  author       = {Nick Van Helleputte and
                  Marian Verhelst and
                  Wim Dehaene and
                  Georges G. E. Gielen},
  title        = {A Reconfigurable, 130 nm {CMOS} 108 pJ/pulse, Fully Integrated {IR-UWB}
                  Receiver for Communication and Precise Ranging},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {45},
  number       = {1},
  pages        = {69--83},
  year         = {2010},
  url          = {https://doi.org/10.1109/JSSC.2009.2031799},
  doi          = {10.1109/JSSC.2009.2031799},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HelleputteVDG10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/PoliakovABRWCZDVM10,
  author       = {Pavel Poliakov and
                  Ankur Anchlia and
                  Marie Garcia Bardon and
                  Bram Rooseleer and
                  Bart De Wachter and
                  Nadine Collaert and
                  Koen van der Zanden and
                  Wim Dehaene and
                  Diederik Verkest and
                  Miguel Corbalan Miranda},
  title        = {Circuit Design for Bias Compatibility in Novel FinFET-Based Floating-Body
                  {RAM}},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {57-II},
  number       = {3},
  pages        = {183--187},
  year         = {2010},
  url          = {https://doi.org/10.1109/TCSII.2010.2041817},
  doi          = {10.1109/TCSII.2010.2041817},
  timestamp    = {Wed, 27 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/PoliakovABRWCZDVM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/DanielsDSW10,
  author       = {Jorg Daniels and
                  Wim Dehaene and
                  Michiel Steyaert and
                  Andreas Wiesbauer},
  title        = {{A/D} Conversion Using Asynchronous Delta-Sigma Modulation and Time-to-Digital
                  Conversion},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {57-I},
  number       = {9},
  pages        = {2404--2412},
  year         = {2010},
  url          = {https://doi.org/10.1109/TCSI.2010.2043169},
  doi          = {10.1109/TCSI.2010.2043169},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/DanielsDSW10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/PlasTLKLMSOVMCDNADTBM10,
  author       = {Geert Van der Plas and
                  Steven Thijs and
                  Dimitri Linten and
                  Guruprasad Katti and
                  Paresh Limaye and
                  Abdelkarim Mercha and
                  Michele Stucchi and
                  Herman Oprins and
                  Bart Vandevelde and
                  Nikolaos Minas and
                  Miro Cupac and
                  Morin Dehan and
                  Marc Nelis and
                  Rahul Agarwal and
                  Wim Dehaene and
                  Youssef Travaly and
                  Eric Beyne and
                  Paul Marchal},
  editor       = {Jacqueline Snyder and
                  Rakesh Patel and
                  Tom Andre},
  title        = {Verifying electrical/thermal/thermo-mechanical behavior of a 3D stack
                  - Challenges and solutions},
  booktitle    = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2010, San Jose,
                  California, USA, 19-22 September, 2010, Proceedings},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/CICC.2010.5617425},
  doi          = {10.1109/CICC.2010.5617425},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/PlasTLKLMSOVMCDNADTBM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/FacchiniMCD10,
  author       = {Marco Facchini and
                  Paul Marchal and
                  Francky Catthoor and
                  Wim Dehaene},
  editor       = {Giovanni De Micheli and
                  Bashir M. Al{-}Hashimi and
                  Wolfgang M{\"{u}}ller and
                  Enrico Macii},
  title        = {An RDL-configurable 3D memory tier to replace on-chip {SRAM}},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany,
                  March 8-12, 2010},
  pages        = {291--294},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/DATE.2010.5457194},
  doi          = {10.1109/DATE.2010.5457194},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/FacchiniMCD10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/SharmaCAHCD10,
  author       = {Vibhu Sharma and
                  Stefan Cosemans and
                  Maryam Ashouei and
                  Jos Huisken and
                  Francky Catthoor and
                  Wim Dehaene},
  title        = {A 4.4pJ/access 80MHz, 2K word \} 64b memory with write masking feature
                  and variability resilient multi-sized sense amplifier redundancy for
                  wireless sensor nodes applications},
  booktitle    = {36th European Solid-State Circuits Conference, {ESSCIRC} 2010, Sevilla,
                  Spain, September 13-17, 2010},
  pages        = {358--361},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ESSCIRC.2010.5619717},
  doi          = {10.1109/ESSCIRC.2010.5619717},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/SharmaCAHCD10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DanielsDS10,
  author       = {Jorg Daniels and
                  Wim Dehaene and
                  Michiel Steyaert},
  title        = {All-digital differential VCO-based {A/D} conversion},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2010), May
                  30 - June 2, 2010, Paris, France},
  pages        = {1085--1088},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISCAS.2010.5537342},
  doi          = {10.1109/ISCAS.2010.5537342},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DanielsDS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VolkaertsMDSRDG10,
  author       = {Wouter Volkaerts and
                  Bart Marien and
                  Hans Danneels and
                  Valentijn De Smedt and
                  Patrick Reynaert and
                  Wim Dehaene and
                  Georges G. E. Gielen},
  title        = {A 0.5 {V-1.4} {V} supply-independent frequency-based analog-to-digital
                  converter with fast start-up time for wireless sensor networks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2010), May
                  30 - June 2, 2010, Paris, France},
  pages        = {3096--3099},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISCAS.2010.5537971},
  doi          = {10.1109/ISCAS.2010.5537971},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/VolkaertsMDSRDG10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/MynyBAGGDH10,
  author       = {Kris Myny and
                  Monique J. Beenhakkers and
                  Nick A. J. M. van Aerle and
                  Gerwin H. Gelinck and
                  Jan Genoe and
                  Wim Dehaene and
                  Paul Heremans},
  title        = {Robust digital design in organic electronics by dual-gate technology},
  booktitle    = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010,
                  Digest of Technical Papers, San Francisco, CA, USA, 7-11 February,
                  2010},
  pages        = {140--141},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSCC.2010.5434020},
  doi          = {10.1109/ISSCC.2010.5434020},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/MynyBAGGDH10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/PlasLMOTTLSKVSCVSWLPBMCROPBORWDNADTMB10,
  author       = {Geert Van der Plas and
                  Paresh Limaye and
                  Abdelkarim Mercha and
                  Herman Oprins and
                  Cristina Torregiani and
                  Steven Thijs and
                  Dimitri Linten and
                  Michele Stucchi and
                  Guruprasad Katti and
                  Dimitrios Velenis and
                  Domae Shinichi and
                  Vladimir Cherman and
                  Bart Vandevelde and
                  Veerle Simons and
                  Ingrid De Wolf and
                  Riet Labie and
                  Dan Perry and
                  Stephane Bronckers and
                  Nikolaos Minas and
                  Miro Cupac and
                  Wouter Ruythooren and
                  Jan Van Olmen and
                  Alain Phommahaxay and
                  Muriel de Potter de ten Broeck and
                  Ann Opdebeeck and
                  Michal Rakowski and
                  Bart De Wachter and
                  Morin Dehan and
                  Marc Nelis and
                  Rahul Agarwal and
                  Wim Dehaene and
                  Youssef Travaly and
                  Pol Marchal and
                  Eric Beyne},
  title        = {Design issues and considerations for low-cost 3D {TSV} {IC} technology},
  booktitle    = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010,
                  Digest of Technical Papers, San Francisco, CA, USA, 7-11 February,
                  2010},
  pages        = {148--149},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSCC.2010.5434016},
  doi          = {10.1109/ISSCC.2010.5434016},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/PlasLMOTTLSKVSCVSWLPBMCROPBORWDNADTMB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/CosemansDC09,
  author       = {Stefan Cosemans and
                  Wim Dehaene and
                  Francky Catthoor},
  title        = {A 3.6 pJ/Access 480 MHz, 128 kb On-Chip {SRAM} With 850 MHz Boost
                  Mode in 90 nm {CMOS} With Tunable Sense Amplifiers},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {44},
  number       = {7},
  pages        = {2065--2077},
  year         = {2009},
  url          = {https://doi.org/10.1109/JSSC.2009.2021925},
  doi          = {10.1109/JSSC.2009.2021925},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/CosemansDC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/pieee/MarchalBKSDPVSB09,
  author       = {Paul Marchal and
                  Bruno Bougard and
                  Guruprasad Katti and
                  Michele Stucchi and
                  Wim Dehaene and
                  Antonis Papanikolaou and
                  Diederik Verkest and
                  Bart Swinnen and
                  Eric Beyne},
  title        = {3-D Technology Assessment: Path-Finding the Technology/Design Sweet-Spot},
  journal      = {Proc. {IEEE}},
  volume       = {97},
  number       = {1},
  pages        = {96--107},
  year         = {2009},
  url          = {https://doi.org/10.1109/JPROC.2008.2007471},
  doi          = {10.1109/JPROC.2008.2007471},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/pieee/MarchalBKSDPVSB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/WangMDC09,
  author       = {Hua Wang and
                  Miguel Miranda and
                  Wim Dehaene and
                  Francky Catthoor},
  title        = {Design and Synthesis of Pareto Buffers Offering Large Range Runtime
                  Energy/Delay Tradeoffs Via Combined Buffer Size and Supply Voltage
                  Tuning},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {17},
  number       = {1},
  pages        = {117--127},
  year         = {2009},
  url          = {https://doi.org/10.1109/TVLSI.2008.2003169},
  doi          = {10.1109/TVLSI.2008.2003169},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/WangMDC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/twc/LiDG09,
  author       = {Zheng Li and
                  Wim Dehaene and
                  Georges G. E. Gielen},
  title        = {A 3-tier UWB-based indoor localization system for ultra-low-power
                  sensor networks},
  journal      = {{IEEE} Trans. Wirel. Commun.},
  volume       = {8},
  number       = {6},
  pages        = {2813--2818},
  year         = {2009},
  url          = {https://doi.org/10.1109/TWC.2009.080602},
  doi          = {10.1109/TWC.2009.080602},
  timestamp    = {Sun, 06 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/twc/LiDG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/twc/VanderperrenDL09,
  author       = {Yves Vanderperren and
                  Wim Dehaene and
                  Geert Leus},
  title        = {Performance Analysis of a Flexible Subsampling Receiver for Pulsed
                  {UWB} Signals},
  journal      = {{IEEE} Trans. Wirel. Commun.},
  volume       = {8},
  number       = {8},
  pages        = {4134--4142},
  year         = {2009},
  url          = {https://doi.org/10.1109/TWC.2009.080614},
  doi          = {10.1109/TWC.2009.080614},
  timestamp    = {Sun, 06 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/twc/VanderperrenDL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/3dic/OlmenCDMHJKMRSTBS09,
  author       = {Jan Van Olmen and
                  Jan Coenen and
                  Wim Dehaene and
                  Kristin De Meyer and
                  Cedric Huyghebaert and
                  Anne Jourdain and
                  Guruprasad Katti and
                  Abdelkarim Mercha and
                  Michal Rakowski and
                  Michele Stucchi and
                  Youssef Travaly and
                  Eric Beyne and
                  Bart Swinnen},
  title        = {3D Stacked {IC} demonstrator using Hybrid Collective Die-to-Wafer
                  bonding with copper Through Silicon Vias {(TSV)}},
  booktitle    = {{IEEE} International Conference on 3D System Integration, 3DIC 2009,
                  San Francisco, California, USA, 28-30 September 2009},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/3DIC.2009.5306600},
  doi          = {10.1109/3DIC.2009.5306600},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/3dic/OlmenCDMHJKMRSTBS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WalravensVD09,
  author       = {Cedric Walravens and
                  Yves Vanderperren and
                  Wim Dehaene},
  title        = {ActivaSC: a highly efficient and non-intrusive extension for activity-based
                  analysis of SystemC models},
  booktitle    = {Proceedings of the 46th Design Automation Conference, {DAC} 2009,
                  San Francisco, CA, USA, July 26-31, 2009},
  pages        = {172--177},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1629911.1629959},
  doi          = {10.1145/1629911.1629959},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/WalravensVD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/FacchiniCVPCDBM09,
  author       = {Marco Facchini and
                  Trevor E. Carlson and
                  Anselme Vignon and
                  Martin Palkovic and
                  Francky Catthoor and
                  Wim Dehaene and
                  Luca Benini and
                  Paul Marchal},
  editor       = {Luca Benini and
                  Giovanni De Micheli and
                  Bashir M. Al{-}Hashimi and
                  Wolfgang M{\"{u}}ller},
  title        = {System-level power/performance evaluation of 3D stacked DRAMs for
                  mobile applications},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France,
                  April 20-24, 2009},
  pages        = {923--928},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/DATE.2009.5090797},
  doi          = {10.1109/DATE.2009.5090797},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/FacchiniCVPCDBM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/VignonCDMF09,
  author       = {Anselme Vignon and
                  Stefan Cosemans and
                  Wim Dehaene and
                  Pol Marchal and
                  Marco Facchini},
  editor       = {Luca Benini and
                  Giovanni De Micheli and
                  Bashir M. Al{-}Hashimi and
                  Wolfgang M{\"{u}}ller},
  title        = {A novel {DRAM} architecture as a low leakage alternative for {SRAM}
                  caches in a 3D interconnect context},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France,
                  April 20-24, 2009},
  pages        = {929--933},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/DATE.2009.5090798},
  doi          = {10.1109/DATE.2009.5090798},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/VignonCDMF09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/DehaeneGSDDRLVH09,
  author       = {Wim Dehaene and
                  Georges G. E. Gielen and
                  Michiel Steyaert and
                  Hans Danneels and
                  V. Desmedt and
                  Christophe De Roover and
                  Z. Li and
                  Marian Verhelst and
                  Nick Van Helleputte and
                  S. Radioma and
                  C. Walravensa and
                  L. Pleysier},
  title        = {RFID, where are they?},
  booktitle    = {35th European Solid-State Circuits Conference, {ESSCIRC} 2009, Athens,
                  Greece, 14-18 September 2009},
  pages        = {36--43},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/ESSCIRC.2009.5325928},
  doi          = {10.1109/ESSCIRC.2009.5325928},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/DehaeneGSDDRLVH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/SmedtDG09,
  author       = {Valentijn De Smedt and
                  Wim Dehaene and
                  Georges G. E. Gielen},
  title        = {A 0.4-1.4V 24MHz fully integrated 33{\(\mathrm{\mu}\)}W, 104ppm/V
                  supply-independent oscillator for RFIDs},
  booktitle    = {35th European Solid-State Circuits Conference, {ESSCIRC} 2009, Athens,
                  Greece, 14-18 September 2009},
  pages        = {396--399},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/ESSCIRC.2009.5325966},
  doi          = {10.1109/ESSCIRC.2009.5325966},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/SmedtDG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/MynyBAGGDH09,
  author       = {Kris Myny and
                  Monique J. Beenhakkers and
                  Nick A. J. M. van Aerle and
                  Gerwin H. Gelinck and
                  Jan Genoe and
                  Wim Dehaene and
                  Paul Heremans},
  title        = {A 128b organic {RFID} transponder chip, including Manchester encoding
                  and {ALOHA} anti-collision protocol, operating with a data rate of
                  1529b/s},
  booktitle    = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009,
                  Digest of Technical Papers, San Francisco, CA, USA, 8-12 February,
                  2009},
  pages        = {206--207},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISSCC.2009.4977380},
  doi          = {10.1109/ISSCC.2009.4977380},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/MynyBAGGDH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/VerhelstHGD09,
  author       = {Marian Verhelst and
                  Nick Van Helleputte and
                  Georges G. E. Gielen and
                  Wim Dehaene},
  title        = {A reconfigurable, 0.13{\(\mathrm{\mu}\)}m {CMOS} 110pJ/pulse, fully
                  integrated {IR-UWB} receiver for communication and sub-cm ranging},
  booktitle    = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009,
                  Digest of Technical Papers, San Francisco, CA, USA, 8-12 February,
                  2009},
  pages        = {250--251},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISSCC.2009.4977402},
  doi          = {10.1109/ISSCC.2009.4977402},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/VerhelstHGD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dafes/VanderperrenMD08,
  author       = {Yves Vanderperren and
                  Wolfgang Mueller and
                  Wim Dehaene},
  title        = {{UML} for electronic systems design: a comprehensive overview},
  journal      = {Des. Autom. Embed. Syst.},
  volume       = {12},
  number       = {4},
  pages        = {261--292},
  year         = {2008},
  url          = {https://doi.org/10.1007/s10617-008-9028-9},
  doi          = {10.1007/S10617-008-9028-9},
  timestamp    = {Thu, 30 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dafes/VanderperrenMD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/VerhelstD08,
  author       = {Marian Verhelst and
                  Wim Dehaene},
  title        = {A Flexible, Ultra-Low-Energy 35 pJ/Pulse Digital Back-End for a {QAC}
                  {IR-UWB} Receiver},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {43},
  number       = {7},
  pages        = {1677--1687},
  year         = {2008},
  url          = {https://doi.org/10.1109/JSSC.2008.922711},
  doi          = {10.1109/JSSC.2008.922711},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/VerhelstD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/VerhelstD08,
  author       = {Marian Verhelst and
                  Wim Dehaene},
  title        = {Analysis of the {QAC} {IR-UWB} Receiver for Low Energy, Low Data-Rate
                  Communication},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {55-I},
  number       = {8},
  pages        = {2423--2432},
  year         = {2008},
  url          = {https://doi.org/10.1109/TCSI.2008.918230},
  doi          = {10.1109/TCSI.2008.918230},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/VerhelstD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsisp/WangCMD08,
  author       = {Hua Wang and
                  Francky Catthoor and
                  Miguel Miranda and
                  Wim Dehaene},
  title        = {Synthesis of Runtime Switchable Pareto Buffers Offering Full Range
                  Fine Grained Energy/Delay Trade-Offs},
  journal      = {J. Signal Process. Syst.},
  volume       = {52},
  number       = {2},
  pages        = {193--210},
  year         = {2008},
  url          = {https://doi.org/10.1007/s11265-007-0147-5},
  doi          = {10.1007/S11265-007-0147-5},
  timestamp    = {Thu, 12 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsisp/WangCMD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/VanderperrenD08,
  author       = {Yves Vanderperren and
                  Wim Dehaene},
  title        = {A subsampling pulsed {UWB} demodulator based on a flexible complex
                  {SVD}},
  booktitle    = {19th {IEEE} International Conference on Application-Specific Systems,
                  Architectures and Processors, {ASAP} 2008, July 2-4, 2008, Leuven,
                  Belgium},
  pages        = {114--119},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ASAP.2008.4580164},
  doi          = {10.1109/ASAP.2008.4580164},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/VanderperrenD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/CosemansDC08,
  author       = {Stefan Cosemans and
                  Wim Dehaene and
                  Francky Catthoor},
  editor       = {William Redman{-}White and
                  Anthony J. Walton},
  title        = {A 3.6pJ/access 480MHz, 128Kbit on-Chip {SRAM} with 850MHz boost mode
                  in 90nm {CMOS} with tunable sense amplifiers to cope with variability},
  booktitle    = {{ESSCIRC} 2008 - 34th European Solid-State Circuits Conference, Edinburgh,
                  Scotland, UK, 15-19 September 2008},
  pages        = {278--281},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ESSCIRC.2008.4681846},
  doi          = {10.1109/ESSCIRC.2008.4681846},
  timestamp    = {Mon, 09 Aug 2021 14:54:02 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/CosemansDC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/GeensD08,
  author       = {Peter Geens and
                  Wim Dehaene},
  editor       = {William Redman{-}White and
                  Anthony J. Walton},
  title        = {A dual port dual width 90nm {SRAM} with guaranteed data retention
                  at minimal standby supply voltage},
  booktitle    = {{ESSCIRC} 2008 - 34th European Solid-State Circuits Conference, Edinburgh,
                  Scotland, UK, 15-19 September 2008},
  pages        = {290--293},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ESSCIRC.2008.4681849},
  doi          = {10.1109/ESSCIRC.2008.4681849},
  timestamp    = {Fri, 25 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/GeensD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icc/VerhelstRVD08,
  author       = {Marian Verhelst and
                  Julien Ryckaert and
                  Yves Vanderperren and
                  Wim Dehaene},
  title        = {A Low Power, Reconfigurable {IR-UWB} System},
  booktitle    = {Proceedings of {IEEE} International Conference on Communications,
                  {ICC} 2008, Beijing, China, 19-23 May 2008},
  pages        = {3770--3774},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ICC.2008.708},
  doi          = {10.1109/ICC.2008.708},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icc/VerhelstRVD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DanielsDSW08,
  author       = {Jorg Daniels and
                  Wim Dehaene and
                  Michiel Steyaert and
                  Andreas Wiesbauer},
  title        = {{A/D} conversion using an Asynchronous Delta-Sigma Modulator and a
                  time-to-digital converter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2008), 18-21
                  May 2008, Sheraton Seattle Hotel, Seattle, Washington, {USA}},
  pages        = {1648--1651},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISCAS.2008.4541751},
  doi          = {10.1109/ISCAS.2008.4541751},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DanielsDSW08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DanneelsVPVBDSG08,
  author       = {Hans Danneels and
                  Marian Verhelst and
                  Pieter Palmers and
                  Wim Vereecken and
                  Bruno Boury and
                  Wim Dehaene and
                  Michiel Steyaert and
                  Georges G. E. Gielen},
  title        = {A low-power mixing {DAC} IR-UWB-receiver},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2008), 18-21
                  May 2008, Sheraton Seattle Hotel, Seattle, Washington, {USA}},
  pages        = {2697--2700},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISCAS.2008.4542013},
  doi          = {10.1109/ISCAS.2008.4542013},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DanneelsVPVBDSG08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ejwcn/BougardLDPCD07,
  author       = {Bruno Bougard and
                  Gregory Lenoir and
                  Antoine Dejonghe and
                  Liesbet Van der Perre and
                  Francky Catthoor and
                  Wim Dehaene},
  title        = {\emph{SmartMIMO}: An Energy-Aware Adaptive {MIMO-OFDM} Radio Link
                  Control for Next-Generation Wireless Local Area Networks},
  journal      = {{EURASIP} J. Wirel. Commun. Netw.},
  volume       = {2007},
  year         = {2007},
  url          = {https://doi.org/10.1155/2007/98186},
  doi          = {10.1155/2007/98186},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ejwcn/BougardLDPCD07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/CosemansDC07,
  author       = {Stefan Cosemans and
                  Wim Dehaene and
                  Francky Catthoor},
  title        = {A Low-Power Embedded {SRAM} for Wireless Applications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {42},
  number       = {7},
  pages        = {1607--1617},
  year         = {2007},
  url          = {https://doi.org/10.1109/JSSC.2007.896693},
  doi          = {10.1109/JSSC.2007.896693},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/CosemansDC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/RyckaertVBDHDNP07,
  author       = {Julien Ryckaert and
                  Marian Verhelst and
                  Mustafa Badaroglu and
                  Stefano D'Amico and
                  Vincent De Heyn and
                  Claude Desset and
                  Pierluigi Nuzzo and
                  Bart van Poucke and
                  Piet Wambacq and
                  Andrea Baschirotto and
                  Wim Dehaene and
                  Geert Van der Plas},
  title        = {A {CMOS} Ultra-Wideband Receiver for Low Data-Rate Communication},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {42},
  number       = {11},
  pages        = {2515--2527},
  year         = {2007},
  url          = {https://doi.org/10.1109/JSSC.2007.907195},
  doi          = {10.1109/JSSC.2007.907195},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/RyckaertVBDHDNP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/VerhelstD07,
  author       = {Marian Verhelst and
                  Wim Dehaene},
  editor       = {Doris Schmitt{-}Landsiedel and
                  Tobias Noll},
  title        = {A flexible, ultra-low power 35pJ/pulse digital back-end for a {QAC}
                  {UWB} receiver},
  booktitle    = {33rd European Solid-State Circuits Conference, {ESSCIRC} 2007, Munich,
                  Germany, 11-13 September 2007},
  pages        = {236--239},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ESSCIRC.2007.4430287},
  doi          = {10.1109/ESSCIRC.2007.4430287},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/esscirc/VerhelstD07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/ZhouD07,
  author       = {Junfeng Zhou and
                  Wim Dehaene},
  editor       = {Doris Schmitt{-}Landsiedel and
                  Tobias Noll},
  title        = {A fully integrated low {EMI} noise power supply technique for {CMOS}
                  digital IC's in automotive applications},
  booktitle    = {33rd European Solid-State Circuits Conference, {ESSCIRC} 2007, Munich,
                  Germany, 11-13 September 2007},
  pages        = {264--267},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ESSCIRC.2007.4430294},
  doi          = {10.1109/ESSCIRC.2007.4430294},
  timestamp    = {Mon, 18 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/ZhouD07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/DehaeneCVCG07,
  author       = {Wim Dehaene and
                  Stefan Cosemans and
                  Anselme Vignon and
                  F. Catthoora and
                  Peter Geens},
  editor       = {Doris Schmitt{-}Landsiedel and
                  Tobias Noll},
  title        = {Embedded {SRAM} design in deep deep submicron technologies},
  booktitle    = {33rd European Solid-State Circuits Conference, {ESSCIRC} 2007, Munich,
                  Germany, 11-13 September 2007},
  pages        = {384--391},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ESSCIRC.2007.4430324},
  doi          = {10.1109/ESSCIRC.2007.4430324},
  timestamp    = {Mon, 18 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/DehaeneCVCG07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/SerneelsSD07,
  author       = {Bert Serneels and
                  Michiel Steyaert and
                  Wim Dehaene},
  title        = {A 237mW aDSL2+ {CO} Line Driver in Standard 1.2V 0.13{\(\mu\)} {CMOS}},
  booktitle    = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2007, Digest of Technical Papers, San Francisco, CA, USA, February
                  11-15, 2007},
  pages        = {524--619},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSCC.2007.373525},
  doi          = {10.1109/ISSCC.2007.373525},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/SerneelsSD07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/PerreBCDHJMMRSWCV07,
  author       = {Liesbet Van der Perre and
                  Bruno Bougard and
                  Jan Craninckx and
                  Wim Dehaene and
                  Lieven Hollevoet and
                  Murali Jayapala and
                  Pol Marchal and
                  Miguel Miranda and
                  Praveen Raghavan and
                  Thomas Schuster and
                  Piet Wambacq and
                  Francky Catthoor and
                  Peter Vanbekbergen},
  title        = {Architectures and Circuits for Software-Defined Radios: Scaling and
                  Scalability for Low Cost and Low Energy},
  booktitle    = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2007, Digest of Technical Papers, San Francisco, CA, USA, February
                  11-15, 2007},
  pages        = {568--569},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSCC.2007.373547},
  doi          = {10.1109/ISSCC.2007.373547},
  timestamp    = {Fri, 15 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/PerreBCDHJMMRSWCV07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-0710-4709,
  author       = {Georges G. E. Gielen and
                  Wim Dehaene and
                  Phillip Christie and
                  Dieter Draxelmayr and
                  Edmond Janssens and
                  Karen Maex and
                  Ted Vucurevich},
  title        = {Analog and Digital Circuit Design in 65 nm {CMOS:} End of the Road?},
  journal      = {CoRR},
  volume       = {abs/0710.4709},
  year         = {2007},
  url          = {http://arxiv.org/abs/0710.4709},
  eprinttype    = {arXiv},
  eprint       = {0710.4709},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-0710-4709.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-0710-4732,
  author       = {Bruno Bougard and
                  Francky Catthoor and
                  Denis C. Daly and
                  Anantha P. Chandrakasan and
                  Wim Dehaene},
  title        = {Energy Efficiency of the {IEEE} 802.15.4 Standard in Dense Wireless
                  Microsensor Networks: Modeling and Improvement Perspectives},
  journal      = {CoRR},
  volume       = {abs/0710.4732},
  year         = {2007},
  url          = {http://arxiv.org/abs/0710.4732},
  eprinttype    = {arXiv},
  eprint       = {0710.4732},
  timestamp    = {Mon, 27 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-0710-4732.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GrossarSMD06,
  author       = {Evelyn Grossar and
                  Michele Stucchi and
                  Karen Maex and
                  Wim Dehaene},
  title        = {Read Stability and Write-Ability Analysis of {SRAM} Cells for Nanometer
                  Technologies},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {41},
  number       = {11},
  pages        = {2577--2588},
  year         = {2006},
  url          = {https://doi.org/10.1109/JSSC.2006.883344},
  doi          = {10.1109/JSSC.2006.883344},
  timestamp    = {Fri, 15 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/GrossarSMD06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sigpro/BougardPDCD06,
  author       = {Bruno Bougard and
                  Sofie Pollin and
                  Antoine Dejonghe and
                  Francky Catthoor and
                  Wim Dehaene},
  title        = {Cross-layer power management in wireless networks and consequences
                  on system-level architecture},
  journal      = {Signal Process.},
  volume       = {86},
  number       = {8},
  pages        = {1792--1803},
  year         = {2006},
  url          = {https://doi.org/10.1016/j.sigpro.2005.09.035},
  doi          = {10.1016/J.SIGPRO.2005.09.035},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/sigpro/BougardPDCD06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/VanderperrenD06,
  author       = {Yves Vanderperren and
                  Wim Dehaene},
  editor       = {Georges G. E. Gielen},
  title        = {From UML/SysML to Matlab/Simulink: current state and future perspectives},
  booktitle    = {Proceedings of the Conference on Design, Automation and Test in Europe,
                  {DATE} 2006, Munich, Germany, March 6-10, 2006},
  pages        = {93},
  publisher    = {European Design and Automation Association, Leuven, Belgium},
  year         = {2006},
  url          = {https://doi.org/10.1109/DATE.2006.244002},
  doi          = {10.1109/DATE.2006.244002},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/VanderperrenD06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icc/VanderperrenDL06,
  author       = {Yves Vanderperren and
                  Wim Dehaene and
                  Geert Leus},
  title        = {A Flexible Low Power Subsampling {UWB} Receiver Based on Line Spectrum
                  Estimation Methods},
  booktitle    = {Proceedings of {IEEE} International Conference on Communications,
                  {ICC} 2006, Istanbul, Turkey, 11-15 June 2006},
  pages        = {4694--4699},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ICC.2006.255381},
  doi          = {10.1109/ICC.2006.255381},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icc/VanderperrenDL06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/MuellerRBRSDV06,
  author       = {Wolfgang Mueller and
                  Alberto Rosti and
                  Sara Bocchio and
                  Elvinia Riccobene and
                  Patrizia Scandurra and
                  Wim Dehaene and
                  Yves Vanderperren},
  editor       = {Soha Hassoun},
  title        = {{UML} for {ESL} design: basic principles, tools, and applications},
  booktitle    = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006,
                  San Jose, CA, USA, November 5-9, 2006},
  pages        = {73--80},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1233501.1233518},
  doi          = {10.1145/1233501.1233518},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/MuellerRBRSDV06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icecsys/SerneelsSD06,
  author       = {Bert Serneels and
                  Michiel Steyaert and
                  Wim Dehaene},
  title        = {A High speed, Low Voltage to High Voltage Level Shifter in Standard
                  1.2V 0.13{\(\mu\)}m {CMOS}},
  booktitle    = {13th {IEEE} International Conference on Electronics, Circuits, and
                  Systems, {ICECS} 2006, Nice, France, December 10-13, 2006},
  pages        = {668--671},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ICECS.2006.379877},
  doi          = {10.1109/ICECS.2006.379877},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icecsys/SerneelsSD06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GrossarSMD06,
  author       = {Evelyn Grossar and
                  Michele Stucchi and
                  Karen Maex and
                  Wim Dehaene},
  title        = {Statistically Aware {SRAM} Memory Array Design},
  booktitle    = {7th International Symposium on Quality of Electronic Design {(ISQED}
                  2006), 27-29 March 2006, San Jose, CA, {USA}},
  pages        = {25--30},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISQED.2006.122},
  doi          = {10.1109/ISQED.2006.122},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/GrossarSMD06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mtdt/WangMCD06,
  author       = {Hua Wang and
                  Miguel Miranda and
                  Francky Catthoor and
                  Wim Dehaene},
  title        = {On the Combined Impact of Soft and Medium Gate Oxide Breakdown and
                  Process Variability on the Parametric Figures of {SRAM} components},
  booktitle    = {14th {IEEE} International Workshop on Memory Technology, Design, and
                  Testing {(MTDT} 2006), 2-4 August 2006, Taipei, Taiwan},
  pages        = {71--76},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/MTDT.2006.23},
  doi          = {10.1109/MTDT.2006.23},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mtdt/WangMCD06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sips/BougardLDPCD06,
  author       = {Bruno Bougard and
                  Gregory Lenoir and
                  Antoine Dejonghe and
                  Liesbet Van der Perre and
                  Francky Catthoor and
                  Wim Dehaene},
  title        = {SmartMIMO: Energy-Aware Adaptive {MIMO-OFDM} Radio Link Control for
                  Wireless Local Area Networks},
  booktitle    = {Proceedings of the {IEEE} Workshop on Signal Processing Systems, SiPS
                  2006, Proceedings, October 2-4, 2006, Banff, Alberta, Canada},
  pages        = {399--404},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/SIPS.2006.352616},
  doi          = {10.1109/SIPS.2006.352616},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sips/BougardLDPCD06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/PapanikolaouWMCD06,
  author       = {Antonis Papanikolaou and
                  Hua Wang and
                  Miguel Miranda and
                  Francky Catthoor and
                  Wim Dehaene},
  editor       = {Giovanni De Micheli and
                  Salvador Mir and
                  Ricardo Reis},
  title        = {Reliability Issues in Deep Deep Submicron Technologies: Time-Dependent
                  Variability and its Impact on Embedded System Design},
  booktitle    = {VLSI-SoC: Research Trends in {VLSI} and Systems on Chip - Fourteenth
                  International Conference on Very Large Scale Integration of System
                  on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France},
  series       = {{IFIP}},
  volume       = {249},
  pages        = {119--141},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/978-0-387-74909-9\_8},
  doi          = {10.1007/978-0-387-74909-9\_8},
  timestamp    = {Tue, 22 Oct 2019 15:21:19 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/PapanikolaouWMCD06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SerneelsPSD05,
  author       = {Bert Serneels and
                  Tim Piessens and
                  Michiel Steyaert and
                  Wim Dehaene},
  title        = {A high-voltage output driver in a 2.5-V 0.25-{\(\mu\)}m {CMOS} technology},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {40},
  number       = {3},
  pages        = {576--583},
  year         = {2005},
  url          = {https://doi.org/10.1109/JSSC.2005.843599},
  doi          = {10.1109/JSSC.2005.843599},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SerneelsPSD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/WangMPCD05,
  author       = {Hua Wang and
                  Miguel Miranda and
                  Antonis Papanikolaou and
                  Francky Catthoor and
                  Wim Dehaene},
  title        = {Variable tapered pareto buffer design and implementation allowing
                  run-time configuration for low-power embedded SRAMs},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {13},
  number       = {10},
  pages        = {1127--1135},
  year         = {2005},
  url          = {https://doi.org/10.1109/TVLSI.2005.859480},
  doi          = {10.1109/TVLSI.2005.859480},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/WangMPCD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsisp/BougardRBPCD05,
  author       = {Bruno Bougard and
                  M. Rullmann and
                  Erik Brockmeyer and
                  Liesbet Van der Perre and
                  Francky Catthoor and
                  Wim Dehaene},
  title        = {Energy Efficient Memory Architecture for High Speed Decoding of Block
                  Turbo-Codes with the Fang-Buda Algorithm},
  journal      = {J. {VLSI} Signal Process.},
  volume       = {39},
  number       = {1-2},
  pages        = {79--92},
  year         = {2005},
  url          = {https://doi.org/10.1023/B:VLSI.0000047273.61038.18},
  doi          = {10.1023/B:VLSI.0000047273.61038.18},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsisp/BougardRBPCD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/GielenDCDJMV05,
  author       = {Georges G. E. Gielen and
                  Wim Dehaene and
                  Phillip Christie and
                  Dieter Draxelmayr and
                  Edmond Janssens and
                  Karen Maex and
                  Ted Vucurevich},
  title        = {Analog and Digital Circuit Design in 65 nm {CMOS:} End of the Road?},
  booktitle    = {2005 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2005), 7-11 March 2005, Munich, Germany},
  pages        = {36--42},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/DATE.2005.64},
  doi          = {10.1109/DATE.2005.64},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/GielenDCDJMV05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/BougardCDCD05,
  author       = {Bruno Bougard and
                  Francky Catthoor and
                  Denis C. Daly and
                  Anantha P. Chandrakasan and
                  Wim Dehaene},
  title        = {Energy Efficiency of the {IEEE} 802.15.4 Standard in Dense Wireless
                  Microsensor Networks: Modeling and Improvement Perspectives},
  booktitle    = {2005 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2005), 7-11 March 2005, Munich, Germany},
  pages        = {196--201},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/DATE.2005.136},
  doi          = {10.1109/DATE.2005.136},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/BougardCDCD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/VanderperrenD05,
  author       = {Yves Vanderperren and
                  Wim Dehaene},
  title        = {{UML} 2 and SysML: An Approach to Deal with Complexity in SoC/NoC
                  Design},
  booktitle    = {2005 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2005), 7-11 March 2005, Munich, Germany},
  pages        = {716--717},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/DATE.2005.319},
  doi          = {10.1109/DATE.2005.319},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/VanderperrenD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/WangMDCM05,
  author       = {Hua Wang and
                  Miguel Miranda and
                  Wim Dehaene and
                  Francky Catthoor and
                  Karen Maex},
  title        = {Systematic Analysis of Energy and Delay Impact of Very Deep Submicron
                  Process Variability Effects in Embedded {SRAM} Modules},
  booktitle    = {2005 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2005), 7-11 March 2005, Munich, Germany},
  pages        = {914--919},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/DATE.2005.291},
  doi          = {10.1109/DATE.2005.291},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/WangMDCM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/SerneelsSD05,
  author       = {Bert Serneels and
                  Michiel Steyaert and
                  Wim Dehaene},
  editor       = {Laurent Fesquet and
                  Andreas Kaiser and
                  Sorin Cristoloveanu and
                  Michel Brillou{\"{e}}t},
  title        = {A 5.5 {V} {SOPA} line driver in a standard 1.2 {V} 0.13 {\(\mu\)}m
                  {CMOS} technology},
  booktitle    = {Proceedings of the 31st European Solid-State Circuits Conference,
                  {ESSCIRC} 2005, Grenoble, France, 12-16 September 2005},
  pages        = {303--306},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ESSCIR.2005.1541620},
  doi          = {10.1109/ESSCIR.2005.1541620},
  timestamp    = {Mon, 01 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/SerneelsSD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fdl/VanderperrenD05,
  author       = {Yves Vanderperren and
                  Wim Dehaene},
  title        = {The SysML profile for embedded system modelling},
  booktitle    = {Forum on specification and Design Languages, {FDL} 2005, September
                  27-30, 2005, Lausanne, Switzerland, Proceedings},
  pages        = {589--598},
  publisher    = {{ECSI}},
  year         = {2005},
  url          = {http://www.ecsi-association.org/ecsi/main.asp?l1=library\&\#38;fn=def\&\#38;id=534},
  timestamp    = {Thu, 03 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fdl/VanderperrenD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icc/VerhelstD05,
  author       = {Marian Verhelst and
                  Wim Dehaene},
  title        = {System design of an ultra-low power, low data rate, pulsed {UWB} receiver
                  in the 0-960 MHz band},
  booktitle    = {Proceedings of {IEEE} International Conference on Communications,
                  {ICC} 2005, Seoul, Korea, 16-20 May 2005},
  pages        = {2812--2817},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ICC.2005.1494864},
  doi          = {10.1109/ICC.2005.1494864},
  timestamp    = {Wed, 16 Oct 2019 14:14:50 +0200},
  biburl       = {https://dblp.org/rec/conf/icc/VerhelstD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/ChenGPDG04,
  author       = {Tao Chen and
                  Peter Geens and
                  Geert Van der Plas and
                  Wim Dehaene and
                  Georges G. E. Gielen},
  editor       = {Michiel Steyaert and
                  C. L. Claeys},
  title        = {A 14-bit 130-MHz {CMOS} current-steering {DAC} with adjustable {INL}},
  booktitle    = {33rd European Solid-State Circuits Conference, {ESSCIRC} 2004, Leuven,
                  Belgium, September 21-23, 2004},
  pages        = {167--170},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ESSCIR.2004.1356644},
  doi          = {10.1109/ESSCIR.2004.1356644},
  timestamp    = {Mon, 01 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/ChenGPDG04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/VerhelstVSD04,
  author       = {Marian Verhelst and
                  Wim Vereecken and
                  Michiel Steyaert and
                  Wim Dehaene},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Architectures for low power ultra-wideband radio receivers in the
                  3.1-5GHz band for data rates {\textless} 10Mbps},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {280--285},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013305},
  doi          = {10.1145/1013235.1013305},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/VerhelstVSD04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/SayintaCPAD03,
  author       = {Ali Sayinta and
                  Gorkem Canverdi and
                  Marc Pauwels and
                  Amer Alshawa and
                  Wim Dehaene},
  title        = {A Mixed Abstraction Level Co-Simulation Case Study Using SystemC for
                  System on Chip Verification},
  booktitle    = {2003 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2003), 3-7 March 2003, Munich, Germany},
  pages        = {20095--20100},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/DATE.2003.1186678},
  doi          = {10.1109/DATE.2003.1186678},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/SayintaCPAD03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/DehaeneSS97,
  author       = {Wim Dehaene and
                  Michiel S. J. Steyaert and
                  Willy Sansen},
  title        = {A 50-MHz standard {CMOS} pulse equalizer for hard disk read channels},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {32},
  number       = {7},
  pages        = {977--988},
  year         = {1997},
  url          = {https://doi.org/10.1109/4.597288},
  doi          = {10.1109/4.597288},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/DehaeneSS97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SteyaertDCWR95,
  author       = {Michel S. J. Steyaert and
                  Wim Dehaene and
                  Jan Craninckx and
                  Mairtin Walsh and
                  Peter Real},
  title        = {A {CMOS} rectifier-integrator for amplitude detection in hard disk
                  servo loops},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {30},
  number       = {7},
  pages        = {743--751},
  year         = {1995},
  url          = {https://doi.org/10.1109/4.391113},
  doi          = {10.1109/4.391113},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SteyaertDCWR95.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics