Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Henk Corporaal
@article{DBLP:journals/mam/MousaviP0C23, author = {Mahsa Mousavi and Hamid Reza Pourshaghaghi and Akash Kumar and Henk Corporaal}, title = {{MTTR} reduction of {FPGA} scrubbing: Exploring {SEU} sensitivity}, journal = {Microprocess. Microsystems}, volume = {101}, pages = {104841}, year = {2023}, url = {https://doi.org/10.1016/j.micpro.2023.104841}, doi = {10.1016/J.MICPRO.2023.104841}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/MousaviP0C23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/DeSC23, author = {Sayandip De and Muhammad Shafique and Henk Corporaal}, title = {Delay Prediction for {ASIC} {HLS:} Comparing Graph-Based and Nongraph-Based Learning Models}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {4}, pages = {1133--1146}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2022.3197977}, doi = {10.1109/TCAD.2022.3197977}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/DeSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/SunLGSC23, author = {Wei Sun and Ang Li and Tong Geng and Sander Stuijk and Henk Corporaal}, title = {Dissecting Tensor Cores via Microbenchmarks: Latency, Throughput and Numeric Behaviors}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {34}, number = {1}, pages = {246--261}, year = {2023}, url = {https://doi.org/10.1109/TPDS.2022.3217824}, doi = {10.1109/TPDS.2022.3217824}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/SunLGSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/BanaGozarSSKAC23, author = {Ali BanaGozar and Seyed Hossein Hashemi Shadmehri and Sander Stuijk and Mehdi Kamal and Ali Afzali{-}Kusha and Henk Corporaal}, editor = {Atsushi Takahashi}, title = {ReMeCo: Reliable Memristor-Based in-Memory Neuromorphic Computation}, booktitle = {Proceedings of the 28th Asia and South Pacific Design Automation Conference, {ASPDAC} 2023, Tokyo, Japan, January 16-19, 2023}, pages = {396--401}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3566097.3567889}, doi = {10.1145/3566097.3567889}, timestamp = {Mon, 26 Jun 2023 20:46:40 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/BanaGozarSSKAC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GomonyPGPMJHSGGVZGBSDDGJEBSBACKGC23, author = {Manil Dev Gomony and Floran de Putter and Anteneh Gebregiorgis and Gianna Paulin and Linyan Mei and Vikram Jain and Said Hamdioui and Victor Sanchez and Tobias Grosser and Marc Geilen and Marian Verhelst and Friedemann Zenke and Frank K. G{\"{u}}rkaynak and Barry de Bruin and Sander Stuijk and Simon Davidson and Sayandip De and Mounir Ghogho and Alexandra Jimborean and Sherif Eissa and Luca Benini and Dimitrios Soudris and Rajendra Bishnoi and Sam Ainsworth and Federico Corradi and Ouassim Karrakchou and Tim G{\"{u}}neysu and Henk Corporaal}, title = {PetaOps/W edge-AI {\textdollar}{\textbackslash}mu{\textdollar} Processors: Myth or reality?}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10136926}, doi = {10.23919/DATE56975.2023.10136926}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GomonyPGPMJHSGGVZGBSDDGJEBSBACKGC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SonPVC23, author = {David van Son and Floran de Putter and Sebastian Vogel and Henk Corporaal}, title = {{BOMP-} {NAS:} Bayesian Optimization Mixed Precision {NAS}}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10136968}, doi = {10.23919/DATE56975.2023.10136968}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SonPVC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/GomonyGFGSRBAAGTCH23, author = {Manil Dev Gomony and Anteneh Gebregiorgis and Moritz Fieback and Marc Geilen and Sander Stuijk and Jan Richter{-}Brockmann and Rajendra Bishnoi and Sven Argo and Lara Arche Andradas and Tim G{\"{u}}neysu and Mottaqiallah Taouil and Henk Corporaal and Said Hamdioui}, title = {Dependability of Future Edge-AI Processors: Pandora's Box}, booktitle = {{IEEE} European Test Symposium, {ETS} 2023, Venezia, Italy, May 22-26, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ETS56758.2023.10174180}, doi = {10.1109/ETS56758.2023.10174180}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ets/GomonyGFGSRBAAGTCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MolendijkPGJC23, author = {Maarten J. Molendijk and Floran A. M. de Putter and Manil Dev Gomony and Pekka J{\"{a}}{\"{a}}skel{\"{a}}inen and Henk Corporaal}, title = {BrainTTA: {A} 28.6 {TOPS/W} Compiler Programmable Transport-Triggered {NN} SoC}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {78--85}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00022}, doi = {10.1109/ICCD58817.2023.00022}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MolendijkPGJC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/0002KDLGMBCM23, author = {Gagandeep Singh and Alireza Khodamoradi and Kristof Denolf and Jack Lo and Juan G{\'{o}}mez{-}Luna and Joseph Melber and Andra Bisca and Henk Corporaal and Onur Mutlu}, editor = {Kyle A. Gallivan and Efstratios Gallopoulos and Dimitrios S. Nikolopoulos and Ram{\'{o}}n Beivide}, title = {{SPARTA:} Spatial Acceleration for Efficient and Scalable Horizontal Diffusion Weather Stencil Computation}, booktitle = {Proceedings of the 37th International Conference on Supercomputing, {ICS} 2023, Orlando, FL, USA, June 21-23, 2023}, pages = {463--476}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577193.3593719}, doi = {10.1145/3577193.3593719}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/0002KDLGMBCM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-11810, author = {David van Son and Floran de Putter and Sebastian Vogel and Henk Corporaal}, title = {{BOMP-NAS:} Bayesian Optimization Mixed Precision {NAS}}, journal = {CoRR}, volume = {abs/2301.11810}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.11810}, doi = {10.48550/ARXIV.2301.11810}, eprinttype = {arXiv}, eprint = {2301.11810}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-11810.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-03509, author = {Gagandeep Singh and Alireza Khodamoradi and Kristof Denolf and Jack Lo and Juan G{\'{o}}mez{-}Luna and Joseph Melber and Andra Bisca and Henk Corporaal and Onur Mutlu}, title = {{SPARTA:} Spatial Acceleration for Efficient and Scalable Horizontal Diffusion Weather Stencil Computation}, journal = {CoRR}, volume = {abs/2303.03509}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.03509}, doi = {10.48550/ARXIV.2303.03509}, eprinttype = {arXiv}, eprint = {2303.03509}, timestamp = {Wed, 15 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-03509.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/CordaVARJKBC22, author = {Stefano Corda and Bram Veenboer and Ahsan Javed Awan and John W. Romein and Roel Jordans and Akash Kumar and Albert{-}Jan Boonstra and Henk Corporaal}, title = {Reduced-Precision Acceleration of Radio-Astronomical Imaging on Reconfigurable Hardware}, journal = {{IEEE} Access}, volume = {10}, pages = {22819--22843}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3150861}, doi = {10.1109/ACCESS.2022.3150861}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/CordaVARJKBC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/LiZWWC22, author = {Guoqing Li and Meng Zhang and Jiuyang Wang and Dongpeng Weng and Henk Corporaal}, title = {{SCWC:} Structured channel weight sharing to compress convolutional neural networks}, journal = {Inf. Sci.}, volume = {587}, pages = {82--96}, year = {2022}, url = {https://doi.org/10.1016/j.ins.2021.12.020}, doi = {10.1016/J.INS.2021.12.020}, timestamp = {Fri, 23 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/LiZWWC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SiemieniukCKCDC22, author = {Adam Siemieniuk and Lorenzo Chelini and Asif Ali Khan and Jer{\'{o}}nimo Castrill{\'{o}}n and Andi Drebes and Henk Corporaal and Tobias Grosser and Martin Kong}, title = {{OCC:} An Automated End-to-End Machine Learning Optimizing Compiler for Computing-In-Memory}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {6}, pages = {1674--1686}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2021.3101464}, doi = {10.1109/TCAD.2021.3101464}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/SiemieniukCKCDC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/WijtvlietKC22, author = {Mark Wijtvliet and Akash Kumar and Henk Corporaal}, title = {Blocks: Challenging SIMDs and VLIWs With a Reconfigurable Architecture}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {9}, pages = {2915--2928}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2021.3120541}, doi = {10.1109/TCAD.2021.3120541}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/WijtvlietKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/HuangWC22, author = {Shihua Huang and Luc Waeijen and Henk Corporaal}, title = {How Flexible is Your Computing System?}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {21}, number = {4}, pages = {37:1--37:41}, year = {2022}, url = {https://doi.org/10.1145/3524861}, doi = {10.1145/3524861}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/HuangWC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trets/SinghDGHSCM22, author = {Gagandeep Singh and Dionysios Diamantopoulos and Juan G{\'{o}}mez{-}Luna and Christoph Hagleitner and Sander Stuijk and Henk Corporaal and Onur Mutlu}, title = {Accelerating Weather Prediction Using Near-Memory Reconfigurable Fabric}, journal = {{ACM} Trans. Reconfigurable Technol. Syst.}, volume = {15}, number = {4}, pages = {39:1--39:27}, year = {2022}, url = {https://doi.org/10.1145/3501804}, doi = {10.1145/3501804}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trets/SinghDGHSCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShadmehriBKSAPC22, author = {Seyed Hossein Hashemi Shadmehri and Ali BanaGozar and Mehdi Kamal and Sander Stuijk and Ali Afzali{-}Kusha and Massoud Pedram and Henk Corporaal}, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {SySCIM: SystemC-AMS Simulation of Memristive Computation In-Memory}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {1467--1472}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774749}, doi = {10.23919/DATE54114.2022.9774749}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ShadmehriBKSAPC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcis/Garcia-RedondoB22, author = {Fernando Garc{\'{\i}}a{-}Redondo and Ali BanaGozar and Kanishkan Vadivel and Henk Corporaal and Shidhartha Das}, title = {{SACA:} System-level Analog {CIM} Accelerators Simulation Framework: Accurate Simulation of Non-Ideal Components}, booktitle = {37th Conference on Design of Circuits and Integrated Systems, {DCIS} 2022, Pamplona, Spain, November 16-18, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DCIS55711.2022.9970112}, doi = {10.1109/DCIS55711.2022.9970112}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dcis/Garcia-RedondoB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcis/VadivelGBCD22, author = {Kanishkan Vadivel and Fernando Garc{\'{\i}}a{-}Redondo and Ali BanaGozar and Henk Corporaal and Shidhartha Das}, title = {{SACA:} System-level Analog {CIM} Accelerators Simulation Framework: Architecture and Cycle-accurate System-to-device Simulator}, booktitle = {37th Conference on Design of Circuits and Integrated Systems, {DCIS} 2022, Pamplona, Spain, November 16-18, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DCIS55711.2022.9970090}, doi = {10.1109/DCIS55711.2022.9970090}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dcis/VadivelGBCD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/VadivelBJCJ22, author = {Kanishkan Vadivel and Barry de Bruin and Roel Jordans and Henk Corporaal and Pekka J{\"{a}}{\"{a}}skel{\"{a}}inen}, title = {Prebypass: Software Register File Bypassing for Reduced Interconnection Architectures}, booktitle = {25th Euromicro Conference on Digital System Design, {DSD} 2022, Maspalomas, Spain, August 31 - Sept. 2, 2022}, pages = {157--164}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DSD57027.2022.00030}, doi = {10.1109/DSD57027.2022.00030}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/VadivelBJCJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/PutterC22, author = {Floran de Putter and Henk Corporaal}, title = {Quantization: how far should we go?}, booktitle = {25th Euromicro Conference on Digital System Design, {DSD} 2022, Maspalomas, Spain, August 31 - Sept. 2, 2022}, pages = {373--382}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DSD57027.2022.00057}, doi = {10.1109/DSD57027.2022.00057}, timestamp = {Mon, 09 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/PutterC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/VillescasWSC22, author = {Martin Roa Villescas and Patrick W. A. Wijnings and Sander Stuijk and Henk Corporaal}, title = {Partial Evaluation in Junction Trees}, booktitle = {25th Euromicro Conference on Digital System Design, {DSD} 2022, Maspalomas, Spain, August 31 - Sept. 2, 2022}, pages = {429--437}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DSD57027.2022.00064}, doi = {10.1109/DSD57027.2022.00064}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/VillescasWSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/EissaSC22, author = {Sherif Eissa and Sander Stuijk and Henk Corporaal}, title = {DNAsim: Evaluation Framework for Digital Neuromorphic Architectures}, booktitle = {25th Euromicro Conference on Digital System Design, {DSD} 2022, Maspalomas, Spain, August 31 - Sept. 2, 2022}, pages = {438--445}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DSD57027.2022.00065}, doi = {10.1109/DSD57027.2022.00065}, timestamp = {Mon, 09 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/EissaSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/PutterPPSC22, author = {Floran de Putter and Maurice Peemen and Pavel Potocek and Remco Schoenmakers and Henk Corporaal}, title = {{CELR:} Cloud Enhanced Local Reconstruction from low-dose sparse Scanning Electron Microscopy images}, booktitle = {25th Euromicro Conference on Digital System Design, {DSD} 2022, Maspalomas, Spain, August 31 - Sept. 2, 2022}, pages = {577--584}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DSD57027.2022.00083}, doi = {10.1109/DSD57027.2022.00083}, timestamp = {Mon, 09 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/PutterPPSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SinghaDGSCM22, author = {Gagandeep Singh and Dionysios Diamantopoulos and Juan G{\'{o}}mez{-}Luna and Sander Stuijk and Henk Corporaal and Onur Mutlu}, title = {{LEAPER:} Fast and Accurate FPGA-based System Performance Prediction via Transfer Learning}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {499--508}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00080}, doi = {10.1109/ICCD56317.2022.00080}, timestamp = {Tue, 12 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SinghaDGSCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iciap/UlkerSCW22, author = {Berk Ulker and Sander Stuijk and Henk Corporaal and Rob G. J. Wijnhoven}, editor = {Stan Sclaroff and Cosimo Distante and Marco Leo and Giovanni Maria Farinella and Federico Tombari}, title = {Accelerating Video Object Detection by Exploiting Prior Object Locations}, booktitle = {Image Analysis and Processing - {ICIAP} 2022 - 21st International Conference, Lecce, Italy, May 23-27, 2022, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {13232}, pages = {657--668}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-06430-2\_55}, doi = {10.1007/978-3-031-06430-2\_55}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iciap/UlkerSCW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/0002N0BHNGSCM22, author = {Gagandeep Singh and Rakesh Nadig and Jisung Park and Rahul Bera and Nastaran Hajinazar and David Novo and Juan G{\'{o}}mez{-}Luna and Sander Stuijk and Henk Corporaal and Onur Mutlu}, editor = {Valentina Salapura and Mohamed Zahran and Fred Chong and Lingjia Tang}, title = {Sibyl: adaptive and extensible data placement in hybrid storage systems using online reinforcement learning}, booktitle = {{ISCA} '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18 - 22, 2022}, pages = {320--336}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3470496.3527442}, doi = {10.1145/3470496.3527442}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/0002N0BHNGSCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiZZC22, author = {Guoqing Li and Jingwei Zhang and Meng Zhang and Henk Corporaal}, title = {An Efficient {FPGA} Implementation for Real-Time and Low-Power {UAV} Object Detection}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2022, Austin, TX, USA, May 27 - June 1, 2022}, pages = {1387--1391}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISCAS48785.2022.9937449}, doi = {10.1109/ISCAS48785.2022.9937449}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiZZC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/EmadPC22, author = {Mohammad Emad and Maurice Peemen and Henk Corporaal}, title = {MoESR: Blind Super-Resolution using Kernel-Aware Mixture of Experts}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2022, Waikoloa, HI, USA, January 3-8, 2022}, pages = {4009--4018}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/WACV51458.2022.00406}, doi = {10.1109/WACV51458.2022.00406}, timestamp = {Thu, 17 Feb 2022 14:50:06 +0100}, biburl = {https://dblp.org/rec/conf/wacv/EmadPC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-07394, author = {Gagandeep Singh and Rakesh Nadig and Jisung Park and Rahul Bera and Nastaran Hajinazar and David Novo and Juan G{\'{o}}mez{-}Luna and Sander Stuijk and Henk Corporaal and Onur Mutlu}, title = {Sibyl: Adaptive and Extensible Data Placement in Hybrid Storage Systems Using Online Reinforcement Learning}, journal = {CoRR}, volume = {abs/2205.07394}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.07394}, doi = {10.48550/ARXIV.2205.07394}, eprinttype = {arXiv}, eprint = {2205.07394}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-07394.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-02874, author = {Wei Sun and Ang Li and Tong Geng and Sander Stuijk and Henk Corporaal}, title = {Dissecting Tensor Cores via Microbenchmarks: Latency, Throughput and Numerical Behaviors}, journal = {CoRR}, volume = {abs/2206.02874}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.02874}, doi = {10.48550/ARXIV.2206.02874}, eprinttype = {arXiv}, eprint = {2206.02874}, timestamp = {Sun, 18 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-02874.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-12322, author = {Floran de Putter and Henk Corporaal}, title = {How to train accurate BNNs for embedded systems?}, journal = {CoRR}, volume = {abs/2206.12322}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.12322}, doi = {10.48550/ARXIV.2206.12322}, eprinttype = {arXiv}, eprint = {2206.12322}, timestamp = {Mon, 27 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-12322.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-12358, author = {Maarten Molendijk and Floran de Putter and Henk Corporaal}, title = {Low- and Mixed-Precision Inference Accelerators}, journal = {CoRR}, volume = {abs/2206.12358}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.12358}, doi = {10.48550/ARXIV.2206.12358}, eprinttype = {arXiv}, eprint = {2206.12358}, timestamp = {Mon, 27 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-12358.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-10606, author = {Gagandeep Singh and Dionysios Diamantopoulos and Juan G{\'{o}}mez{-}Luna and Sander Stuijk and Henk Corporaal and Onur Mutlu}, title = {{LEAPER:} Modeling Cloud FPGA-based Systems via Transfer Learning}, journal = {CoRR}, volume = {abs/2208.10606}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.10606}, doi = {10.48550/ARXIV.2208.10606}, eprinttype = {arXiv}, eprint = {2208.10606}, timestamp = {Mon, 29 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-10606.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-03079, author = {Gagandeep Singh and Mohammed Alser and Alireza Khodamoradi and Kristof Denolf and Can Firtina and Meryem Banu Cavlak and Henk Corporaal and Onur Mutlu}, title = {A Framework for Designing Efficient Deep Learning-Based Genomic Basecallers}, journal = {CoRR}, volume = {abs/2211.03079}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.03079}, doi = {10.48550/ARXIV.2211.03079}, eprinttype = {arXiv}, eprint = {2211.03079}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-03079.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-11331, author = {Maarten Molendijk and Floran de Putter and Manil Dev Gomony and Pekka J{\"{a}}{\"{a}}skel{\"{a}}inen and Henk Corporaal}, title = {BrainTTA: {A} 35 fJ/op Compiler Programmable Mixed-Precision Transport-Triggered {NN} SoC}, journal = {CoRR}, volume = {abs/2211.11331}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.11331}, doi = {10.48550/ARXIV.2211.11331}, eprinttype = {arXiv}, eprint = {2211.11331}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-11331.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-00873, author = {Manil Dev Gomony and Floran de Putter and Anteneh Gebregiorgis and Gianna Paulin and Linyan Mei and Vikram Jain and Said Hamdioui and Victor Sanchez and Tobias Grosser and Marc Geilen and Marian Verhelst and Frank K. Zenke and Frank K. G{\"{u}}rkaynak and Barry de Bruin and Sander Stuijk and Simon Davidson and Sayandip De and Mounir Ghogho and Alexandra Jimborean and Sherif Eissa and Luca Benini and Dimitrios Soudris and Rajendra Bishnoi and S. Ainsworth and Federico Corradi and Ouassim Karrakchou and Tim G{\"{u}}neysu and Henk Corporaal}, title = {{CONVOLVE:} Smart and seamless design of smart edge processors}, journal = {CoRR}, volume = {abs/2212.00873}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.00873}, doi = {10.48550/ARXIV.2212.00873}, eprinttype = {arXiv}, eprint = {2212.00873}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-00873.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-01696, author = {Mayank Senapati and Manil Dev Gomony and Sherif Eissa and Charlotte Frenkel and Henk Corporaal}, title = {{THOR} - {A} Neuromorphic Processor with 7.29G TSOP{\textdollar}{\^{}}2{\textdollar}/mm{\textdollar}{\^{}}2{\textdollar}Js Energy-Throughput Efficiency}, journal = {CoRR}, volume = {abs/2212.01696}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.01696}, doi = {10.48550/ARXIV.2212.01696}, eprinttype = {arXiv}, eprint = {2212.01696}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-01696.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WaeijenSPLC21, author = {Luc Waeijen and Savvas Sioutas and Maurice Peemen and Menno Lindwer and Henk Corporaal}, title = {ConvFusion: {A} Model for Layer Fusion in Convolutional Neural Networks}, journal = {{IEEE} Access}, volume = {9}, pages = {168245--168267}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3134930}, doi = {10.1109/ACCESS.2021.3134930}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WaeijenSPLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/SinghACDGCM21, author = {Gagandeep Singh and Mohammed Alser and Damla Senol Cali and Dionysios Diamantopoulos and Juan G{\'{o}}mez{-}Luna and Henk Corporaal and Onur Mutlu}, title = {FPGA-Based Near-Memory Acceleration of Modern Data-Intensive Applications}, journal = {{IEEE} Micro}, volume = {41}, number = {4}, pages = {39--48}, year = {2021}, url = {https://doi.org/10.1109/MM.2021.3088396}, doi = {10.1109/MM.2021.3088396}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/SinghACDGCM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/BruinSWHGC21, author = {Barry de Bruin and Kamlesh Singh and Ying Wang and Jos Huisken and Jos{\'{e}} Pineda de Gyvez and Henk Corporaal}, title = {Multi-Level Optimization of an Ultra-Low Power BrainWave System for Non-Convulsive Seizure Detection}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {15}, number = {5}, pages = {1107--1121}, year = {2021}, url = {https://doi.org/10.1109/TBCAS.2021.3120965}, doi = {10.1109/TBCAS.2021.3120965}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/BruinSWHGC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcps/BastosVSSC21, author = {Jo{\~{a}}o Bastos and Jeroen Voeten and Sander Stuijk and Ramon R. H. Schiffelers and Henk Corporaal}, title = {Taming the State-space Explosion in the Makespan Optimization of Flexible Manufacturing Systems}, journal = {{ACM} Trans. Cyber Phys. Syst.}, volume = {5}, number = {2}, pages = {15:1--15:26}, year = {2021}, url = {https://doi.org/10.1145/3426194}, doi = {10.1145/3426194}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcps/BastosVSSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trets/WijtvlietCK21, author = {Mark Wijtvliet and Henk Corporaal and Akash Kumar}, title = {{CGRA-EAM} - Rapid Energy and Area Estimation for Coarse-grained Reconfigurable Architectures}, journal = {{ACM} Trans. Reconfigurable Technol. Syst.}, volume = {14}, number = {4}, pages = {19:1--19:28}, year = {2021}, url = {https://doi.org/10.1145/3468874}, doi = {10.1145/3468874}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trets/WijtvlietCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/SinghBJHCG21, author = {Kamlesh Singh and Barry de Bruin and Hailong Jiao and Jos Huisken and Henk Corporaal and Jos{\'{e}} Pineda de Gyvez}, title = {Converter-Free Power Delivery Using Voltage Stacking for Near/Subthreshold Operation}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {29}, number = {6}, pages = {1039--1051}, year = {2021}, url = {https://doi.org/10.1109/TVLSI.2021.3071464}, doi = {10.1109/TVLSI.2021.3071464}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/SinghBJHCG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/EissaSC21, author = {Sherif Eissa and Sander Stuijk and Henk Corporaal}, title = {Hardware Approximation of Exponential Decay for Spiking Neural Networks}, booktitle = {3rd {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2021, Washington, DC, USA, June 6-9, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/AICAS51828.2021.9458560}, doi = {10.1109/AICAS51828.2021.9458560}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aicas/EissaSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgo/CheliniDZ0VGC21, author = {Lorenzo Chelini and Andi Drebes and Oleksandr Zinenko and Albert Cohen and Nicolas Vasilache and Tobias Grosser and Henk Corporaal}, editor = {Jae W. Lee and Mary Lou Soffa and Ayal Zaks}, title = {Progressive Raising in Multi-level {IR}}, booktitle = {{IEEE/ACM} International Symposium on Code Generation and Optimization, {CGO} 2021, Seoul, South Korea, February 27 - March 3, 2021}, pages = {15--26}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CGO51591.2021.9370332}, doi = {10.1109/CGO51591.2021.9370332}, timestamp = {Fri, 19 Mar 2021 12:51:20 +0100}, biburl = {https://dblp.org/rec/conf/cgo/CheliniDZ0VGC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SunSS0C21, author = {Wei Sun and Savvas Sioutas and Sander Stuijk and Andrew Nelson and Henk Corporaal}, title = {Efficient Tensor Cores support in {TVM} for Low-Latency Deep learning}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {120--123}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9473984}, doi = {10.23919/DATE51398.2021.9473984}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SunSS0C21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DeHMGC21, author = {Sayandip De and Yingkai Huang and Sajid Mohamed and Dip Goswami and Henk Corporaal}, title = {Hardware- and Situation-Aware Sensing for Robust Closed-Loop Control Systems}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {1751--1756}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9474216}, doi = {10.23919/DATE51398.2021.9474216}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DeHMGC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/CordaKAJKC21, author = {Stefano Corda and Madhurya Kumaraswamy and Ahsan Javed Awan and Roel Jordans and Akash Kumar and Henk Corporaal}, editor = {Francesco Leporati and Salvatore Vitabile and Amund Skavhaug}, title = {{NMPO:} Near-Memory Computing Profiling and Offloading}, booktitle = {24th Euromicro Conference on Digital System Design, {DSD} 2021, Virtual Event / Palermo, Sicily, Italy, September 1-3, 2021}, pages = {259--267}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DSD53832.2021.00048}, doi = {10.1109/DSD53832.2021.00048}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/CordaKAJKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/SinghDGSMC21, author = {Gagandeep Singh and Dionysios Diamantopoulos and Juan G{\'{o}}mez{-}Luna and Sander Stuijk and Onur Mutlu and Henk Corporaal}, editor = {Lesley Shannon and Michael Adler}, title = {Modeling FPGA-Based Systems via Few-Shot Learning}, booktitle = {{FPGA} '21: The 2021 {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, Virtual Event, USA, February 28 - March 2, 2021}, pages = {146}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3431920.3439460}, doi = {10.1145/3431920.3439460}, timestamp = {Wed, 07 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpga/SinghDGSMC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/WijningsSSC21, author = {Patrick W. A. Wijnings and Sander Stuijk and Rick Scholte and Henk Corporaal}, title = {Characterization of Mems Microphone Sensitivity and Phase Distributions with Applications in Array Processing}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2021, Toronto, ON, Canada, June 6-11, 2021}, pages = {4480--4484}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICASSP39728.2021.9413352}, doi = {10.1109/ICASSP39728.2021.9413352}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/WijningsSSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MairSKBCMNCTS21, author = {Hugh Mair and Shinichiro Shiratake and Eric Karl and Thomas Burd and Jonathan Chang and Debbie Marr and Samuel Naffziger and Henk Corporaal and Ken Takeuchi and Naresh R. Shanbhag}, title = {{SE1:} What Technologies Will Shape the Future of Computing?}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {537--538}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366007}, doi = {10.1109/ISSCC42613.2021.9366007}, timestamp = {Wed, 10 Mar 2021 15:02:58 +0100}, biburl = {https://dblp.org/rec/conf/isscc/MairSKBCMNCTS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/SunZSWNLC21, author = {Wei Sun and Aojun Zhou and Sander Stuijk and Rob G. J. Wijnhoven and Andrew Nelson and Hongsheng Li and Henk Corporaal}, editor = {Marc'Aurelio Ranzato and Alina Beygelzimer and Yann N. Dauphin and Percy Liang and Jennifer Wortman Vaughan}, title = {DominoSearch: Find layer-wise fine-grained {N:} {M} sparse schemes from dense neural networks}, booktitle = {Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, NeurIPS 2021, December 6-14, 2021, virtual}, pages = {20721--20732}, year = {2021}, url = {https://proceedings.neurips.cc/paper/2021/hash/ad68473a64305626a27c32a5408552d7-Abstract.html}, timestamp = {Thu, 30 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/SunZSWNLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scopes/CheliniKGC21, author = {Lorenzo Chelini and Martin Kong and Tobias Grosser and Henk Corporaal}, editor = {Sander Stuijk}, title = {LoopOpt: Declarative Transformations Made Easy}, booktitle = {{SCOPES} '21: 24th International Workshop on Software and Compilers for Embedded Systems, Eindhoven, The Netherlands, November 1 - 2, 2021}, pages = {11--16}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3493229.3493301}, doi = {10.1145/3493229.3493301}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/scopes/CheliniKGC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GaliciaBSSSCL21, author = {Melvin Galicia and Ali BanaGozar and Karl J. X. Sturm and Felix Staudigl and Sander Stuijk and Henk Corporaal and Rainer Leupers}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {NeuroVP: {A} System-Level Virtual Platform for Integration of Neuromorphic Accelerators}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {236--241}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739585}, doi = {10.1109/SOCC52499.2021.9739585}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GaliciaBSSSCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/EmadPC21, author = {Mohammad Emad and Maurice Peemen and Henk Corporaal}, title = {DualSR: Zero-Shot Dual Learning for Real-World Super-Resolution}, booktitle = {{IEEE} Winter Conference on Applications of Computer Vision, {WACV} 2021, Waikoloa, HI, USA, January 3-8, 2021}, pages = {1629--1638}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/WACV48630.2021.00167}, doi = {10.1109/WACV48630.2021.00167}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wacv/EmadPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-01139, author = {Shihua Huang and Luc Waeijen and Henk Corporaal}, title = {How Flexible is Your Computing System}, journal = {CoRR}, volume = {abs/2106.01139}, year = {2021}, url = {https://arxiv.org/abs/2106.01139}, eprinttype = {arXiv}, eprint = {2106.01139}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-01139.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-06433, author = {Gagandeep Singh and Mohammed Alser and Damla Senol Cali and Dionysios Diamantopoulos and Juan G{\'{o}}mez{-}Luna and Henk Corporaal and Onur Mutlu}, title = {FPGA-based Near-Memory Acceleration of Modern Data-Intensive Applications}, journal = {CoRR}, volume = {abs/2106.06433}, year = {2021}, url = {https://arxiv.org/abs/2106.06433}, eprinttype = {arXiv}, eprint = {2106.06433}, timestamp = {Wed, 07 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-06433.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-15284, author = {Stefano Corda and Madhurya Kumaraswamy and Ahsan Javed Awan and Roel Jordans and Akash Kumar and Henk Corporaal}, title = {{NMPO:} Near-Memory Computing Profiling and Offloading}, journal = {CoRR}, volume = {abs/2106.15284}, year = {2021}, url = {https://arxiv.org/abs/2106.15284}, eprinttype = {arXiv}, eprint = {2106.15284}, timestamp = {Mon, 05 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-15284.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-08716, author = {Gagandeep Singh and Dionysios Diamantopoulos and Juan G{\'{o}}mez{-}Luna and Christoph Hagleitner and Sander Stuijk and Henk Corporaal and Onur Mutlu}, title = {{NERO:} Accelerating Weather Prediction using Near-Memory Reconfigurable Fabric}, journal = {CoRR}, volume = {abs/2107.08716}, year = {2021}, url = {https://arxiv.org/abs/2107.08716}, eprinttype = {arXiv}, eprint = {2107.08716}, timestamp = {Thu, 22 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-08716.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/DeMGC20, author = {Sayandip De and Sajid Mohamed and Dip Goswami and Henk Corporaal}, title = {Approximation-Aware Design of an Image-Based Control System}, journal = {{IEEE} Access}, volume = {8}, pages = {174568--174586}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3023047}, doi = {10.1109/ACCESS.2020.3023047}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/DeMGC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/BruinZC20, author = {Barry de Bruin and Zoran Zivkovic and Henk Corporaal}, title = {Quantization of deep neural networks for accumulator-constrained processors}, journal = {Microprocess. Microsystems}, volume = {72}, year = {2020}, url = {https://doi.org/10.1016/j.micpro.2019.102872}, doi = {10.1016/J.MICPRO.2019.102872}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/BruinZC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/CheliniZGC20, author = {Lorenzo Chelini and Oleksandr Zinenko and Tobias Grosser and Henk Corporaal}, title = {Declarative Loop Tactics for Domain-specific Optimization}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {16}, number = {4}, pages = {55:1--55:25}, year = {2020}, url = {https://doi.org/10.1145/3372266}, doi = {10.1145/3372266}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/CheliniZGC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/SioutasSBCS20, author = {Savvas Sioutas and Sander Stuijk and Twan Basten and Henk Corporaal and Lou J. Somers}, title = {Schedule Synthesis for Halide Pipelines on GPUs}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {17}, number = {3}, pages = {23:1--23:25}, year = {2020}, url = {https://doi.org/10.1145/3406117}, doi = {10.1145/3406117}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/SioutasSBCS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/YuNATHCB20, author = {Jintao Yu and Razvan Nane and Imran Ashraf and Mottaqiallah Taouil and Said Hamdioui and Henk Corporaal and Koen Bertels}, title = {Skeleton-Based Synthesis Flow for Computation-in-Memory Architectures}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {8}, number = {2}, pages = {545--558}, year = {2020}, url = {https://doi.org/10.1109/TETC.2017.2760927}, doi = {10.1109/TETC.2017.2760927}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/YuNATHCB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/CheliniGGKC20, author = {Lorenzo Chelini and Tobias Gysi and Tobias Grosser and Martin Kong and Henk Corporaal}, editor = {Vivek Sarkar and Hyesoon Kim}, title = {Automatic Generation of Multi-Objective Polyhedral Compiler Transformations}, booktitle = {{PACT} '20: International Conference on Parallel Architectures and Compilation Techniques, Virtual Event, GA, USA, October 3-7, 2020}, pages = {83--96}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3410463.3414635}, doi = {10.1145/3410463.3414635}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/CheliniGGKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VadivelCBSCJC20, author = {Kanishkan Vadivel and Lorenzo Chelini and Ali BanaGozar and Gagandeep Singh and Stefano Corda and Roel Jordans and Henk Corporaal}, title = {{TDO-CIM:} Transparent Detection and Offloading for Computation In-memory}, booktitle = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, pages = {1602--1605}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/DATE48585.2020.9116464}, doi = {10.23919/DATE48585.2020.9116464}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/VadivelCBSCJC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DeMBGBC20, author = {Sayandip De and Sajid Mohamed and Konstantinos Bimpisidis and Dip Goswami and Twan Basten and Henk Corporaal}, title = {Approximation Trade Offs in an Image-Based Control System}, booktitle = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, pages = {1680--1685}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/DATE48585.2020.9116552}, doi = {10.23919/DATE48585.2020.9116552}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DeMBGBC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/KomisarczykCVJC20, author = {Konrad Komisarczyk and Lorenzo Chelini and Kanishkan Vadivel and Roel Jordans and Henk Corporaal}, title = {PET-to-MLIR: {A} polyhedral front-end for {MLIR}}, booktitle = {23rd Euromicro Conference on Digital System Design, {DSD} 2020, Kranj, Slovenia, August 26-28, 2020}, pages = {551--556}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DSD51259.2020.00091}, doi = {10.1109/DSD51259.2020.00091}, timestamp = {Wed, 14 Oct 2020 14:37:25 +0200}, biburl = {https://dblp.org/rec/conf/dsd/KomisarczykCVJC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/SinghDHGSMC20, author = {Gagandeep Singh and Dionysios Diamantopoulos and Christoph Hagleitner and Juan G{\'{o}}mez{-}Luna and Sander Stuijk and Onur Mutlu and Henk Corporaal}, editor = {Nele Mentens and Leonel Sousa and Pedro Trancoso and Miquel Peric{\`{a}}s and Ioannis Sourdis}, title = {{NERO:} {A} Near High-Bandwidth Memory Stencil Accelerator for Weather Prediction Modeling}, booktitle = {30th International Conference on Field-Programmable Logic and Applications, {FPL} 2020, Gothenburg, Sweden, August 31 - September 4, 2020}, pages = {9--17}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/FPL50879.2020.00014}, doi = {10.1109/FPL50879.2020.00014}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpl/SinghDHGSMC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/WijningsSVC20, author = {Patrick W. A. Wijnings and Sander Stuijk and Bert de Vries and Henk Corporaal}, title = {Approximate Inference by Kullback-Leibler Tensor Belief Propagation}, booktitle = {2020 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2020, Barcelona, Spain, May 4-8, 2020}, pages = {5850--5854}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICASSP40776.2020.9054354}, doi = {10.1109/ICASSP40776.2020.9054354}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/WijningsSVC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/LierBCZ20, author = {Michel van Lier and Alexios Balatsoukas{-}Stimming and Henk Corporaal and Zoran Zivkovic}, title = {{OPTCOMNET:} Optimized Neural Networks for Low-Complexity Channel Estimation}, booktitle = {2020 {IEEE} International Conference on Communications, {ICC} 2020, Dublin, Ireland, June 7-11, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICC40277.2020.9149049}, doi = {10.1109/ICC40277.2020.9149049}, timestamp = {Tue, 04 Aug 2020 10:37:23 +0200}, biburl = {https://dblp.org/rec/conf/icc/LierBCZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/BruinSHC20, author = {Barry de Bruin and Kamlesh Singh and Jos Huisken and Henk Corporaal}, editor = {David Atienza Alonso and Qinru Qiu and Sherief Reda and Yiran Chen}, title = {BrainWave: an energy-efficient {EEG} monitoring system - evaluation and trade-offs}, booktitle = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics and Design, Boston, Massachusetts, August 10-12, 2020}, pages = {181--186}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3370748.3406571}, doi = {10.1145/3370748.3406571}, timestamp = {Tue, 05 Jul 2022 15:18:16 +0200}, biburl = {https://dblp.org/rec/conf/islped/BruinSHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/meco/CordaVA0JC20, author = {Stefano Corda and Bram Veenboer and Ahsan Javed Awan and Akash Kumar and Roel Jordans and Henk Corporaal}, title = {Near Memory Acceleration on High Resolution Radio Astronomy Imaging}, booktitle = {9th Mediterranean Conference on Embedded Computing, {MECO} 2020, Budva, Montenegro, June 8-11, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/MECO49872.2020.9134089}, doi = {10.1109/MECO49872.2020.9134089}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/meco/CordaVA0JC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/BanaGozarVMJSC20, author = {Ali BanaGozar and Kanishkan Vadivel and Joonas Multanen and Pekka J{\"{a}}{\"{a}}skel{\"{a}}inen and Sander Stuijk and Henk Corporaal}, editor = {Alex Orailoglu and Matthias Jung and Marc Reichenbach}, title = {System Simulation of Memristor Based Computation in Memory Platforms}, booktitle = {Embedded Computer Systems: Architectures, Modeling, and Simulation - 20th International Conference, {SAMOS} 2020, Samos, Greece, July 5-9, 2020, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12471}, pages = {152--168}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-60939-9\_11}, doi = {10.1007/978-3-030-60939-9\_11}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/samos/BanaGozarVMJSC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scopes/SioutasSBSC20, author = {Savvas Sioutas and Sander Stuijk and Twan Basten and Lou J. Somers and Henk Corporaal}, editor = {Sander Stuijk and Henk Corporaal}, title = {Programming tensor cores from an image processing {DSL}}, booktitle = {{SCOPES} '20: 23rd International Workshop on Software and Compilers for Embedded Systems, St. Goar, Germany, May 25-26, 2020}, pages = {36--41}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3378678.3391880}, doi = {10.1145/3378678.3391880}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/scopes/SioutasSBSC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scopes/UlkerSCW20, author = {Berk Ulker and Sander Stuijk and Henk Corporaal and Rob G. J. Wijnhoven}, editor = {Sander Stuijk and Henk Corporaal}, title = {Reviewing inference performance of state-of-the-art deep learning frameworks}, booktitle = {{SCOPES} '20: 23rd International Workshop on Software and Compilers for Embedded Systems, St. Goar, Germany, May 25-26, 2020}, pages = {48--53}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3378678.3391882}, doi = {10.1145/3378678.3391882}, timestamp = {Thu, 30 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/scopes/UlkerSCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scopes/VillescasVSC20, author = {Martin Roa Villescas and Bert de Vries and Sander Stuijk and Henk Corporaal}, editor = {Sander Stuijk and Henk Corporaal}, title = {Real-time audio processing for hearing aids using a model-based bayesian inference framework}, booktitle = {{SCOPES} '20: 23rd International Workshop on Software and Compilers for Embedded Systems, St. Goar, Germany, May 25-26, 2020}, pages = {82--85}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3378678.3397528}, doi = {10.1145/3378678.3397528}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/scopes/VillescasVSC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/scopes/2020, editor = {Sander Stuijk and Henk Corporaal}, title = {{SCOPES} '20: 23rd International Workshop on Software and Compilers for Embedded Systems, St. Goar, Germany, May 25-26, 2020}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3378678}, doi = {10.1145/3378678}, isbn = {978-1-4503-7131-5}, timestamp = {Tue, 02 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/scopes/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-11783, author = {Barry de Bruin and Zoran Zivkovic and Henk Corporaal}, title = {Quantization of Deep Neural Networks for Accumulator-constrained Processors}, journal = {CoRR}, volume = {abs/2004.11783}, year = {2020}, url = {https://arxiv.org/abs/2004.11783}, eprinttype = {arXiv}, eprint = {2004.11783}, timestamp = {Tue, 28 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-11783.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-04098, author = {Stefano Corda and Bram Veenboer and Ahsan Javed Awan and Akash Kumar and Roel Jordans and Henk Corporaal}, title = {Near Memory Acceleration on High Resolution Radio Astronomy Imaging}, journal = {CoRR}, volume = {abs/2005.04098}, year = {2020}, url = {https://arxiv.org/abs/2005.04098}, eprinttype = {arXiv}, eprint = {2005.04098}, timestamp = {Thu, 14 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-04098.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-00060, author = {Kanishkan Vadivel and Lorenzo Chelini and Ali BanaGozar and Gagandeep Singh and Stefano Corda and Roel Jordans and Henk Corporaal}, title = {{TDO-CIM:} Transparent Detection and Offloading for Computation In-memory}, journal = {CoRR}, volume = {abs/2007.00060}, year = {2020}, url = {https://arxiv.org/abs/2007.00060}, eprinttype = {arXiv}, eprint = {2007.00060}, timestamp = {Thu, 03 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-00060.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-08241, author = {Gagandeep Singh and Dionysios Diamantopoulos and Christoph Hagleitner and Juan G{\'{o}}mez{-}Luna and Sander Stuijk and Onur Mutlu and Henk Corporaal}, title = {{NERO:} {A} Near High-Bandwidth Memory Stencil Accelerator for Weather Prediction Modeling}, journal = {CoRR}, volume = {abs/2009.08241}, year = {2020}, url = {https://arxiv.org/abs/2009.08241}, eprinttype = {arXiv}, eprint = {2009.08241}, timestamp = {Wed, 07 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-08241.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/SinghCCASJCB19, author = {Gagandeep Singh and Lorenzo Chelini and Stefano Corda and Ahsan Javed Awan and Sander Stuijk and Roel Jordans and Henk Corporaal and Albert{-}Jan Boonstra}, title = {Near-memory computing: Past, present, and future}, journal = {Microprocess. Microsystems}, volume = {71}, year = {2019}, url = {https://doi.org/10.1016/j.micpro.2019.102868}, doi = {10.1016/J.MICPRO.2019.102868}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/SinghCCASJCB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/SioutasSWBCS19, author = {Savvas Sioutas and Sander Stuijk and Luc Waeijen and Twan Basten and Henk Corporaal and Lou J. Somers}, title = {Schedule Synthesis for Halide Pipelines through Reuse Analysis}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {16}, number = {2}, pages = {10:1--10:22}, year = {2019}, url = {https://doi.org/10.1145/3310248}, doi = {10.1145/3310248}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/SioutasSWBCS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SinghGMOCSMC19, author = {Gagandeep Singh and Juan G{\'{o}}mez{-}Luna and Giovanni Mariani and Geraldo F. Oliveira and Stefano Corda and Sander Stuijk and Onur Mutlu and Henk Corporaal}, title = {{NAPEL:} Near-Memory Computing Application Performance Prediction via Ensemble Learning}, booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, pages = {27}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316781.3317867}, doi = {10.1145/3316781.3317867}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SinghGMOCSMC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/MousaviPC019, author = {Mahsa Mousavi and Hamid Reza Pourshaghaghi and Henk Corporaal and Akash Kumar}, title = {Scatter Scrubbing: {A} Method to Reduce {SEU} Repair Time in {FPGA} Configuration Memory}, booktitle = {2019 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2019, Noordwijk, Netherlands, October 2-4, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/DFT.2019.8875431}, doi = {10.1109/DFT.2019.8875431}, timestamp = {Tue, 22 Oct 2019 15:42:19 +0200}, biburl = {https://dblp.org/rec/conf/dft/MousaviPC019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/CordaSAJC19, author = {Stefano Corda and Gagandeep Singh and Ahsan Javed Awan and Roel Jordans and Henk Corporaal}, title = {Platform Independent Software Analysis for Near Memory Computing}, booktitle = {22nd Euromicro Conference on Digital System Design, {DSD} 2019, Kallithea, Greece, August 28-30, 2019}, pages = {606--609}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/DSD.2019.00093}, doi = {10.1109/DSD.2019.00093}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/CordaSAJC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/MousaviDPC19, author = {Mahsa Mousavi and Sayandip De and Hamid Reza Pourshaghaghi and Henk Corporaal}, title = {Fault Tolerant FPGAs: Where to Spend the Effort?}, booktitle = {22nd Euromicro Conference on Digital System Design, {DSD} 2019, Kallithea, Greece, August 28-30, 2019}, pages = {651--654}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/DSD.2019.00103}, doi = {10.1109/DSD.2019.00103}, timestamp = {Wed, 23 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dsd/MousaviDPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/WijtvlietHWC19, author = {Mark Wijtvliet and Jos Huisken and Luc Waeijen and Henk Corporaal}, editor = {Ioannis Sourdis and Christos{-}Savvas Bouganis and Carlos {\'{A}}lvarez and Leonel Antonio Toledo D{\'{\i}}az and Pedro Valero{-}Lara and Xavier Martorell}, title = {Blocks: Redesigning Coarse Grained Reconfigurable Architectures for Energy Efficiency}, booktitle = {29th International Conference on Field Programmable Logic and Applications, {FPL} 2019, Barcelona, Spain, September 8-12, 2019}, pages = {17--23}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/FPL.2019.00013}, doi = {10.1109/FPL.2019.00013}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpl/WijtvlietHWC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/SinghDHSC19, author = {Gagandeep Singh and Dionysios Diamantopoulos and Christoph Hagleitner and Sander Stuijk and Henk Corporaal}, editor = {Ioannis Sourdis and Christos{-}Savvas Bouganis and Carlos {\'{A}}lvarez and Leonel Antonio Toledo D{\'{\i}}az and Pedro Valero{-}Lara and Xavier Martorell}, title = {{NARMADA:} Near-Memory Horizontal Diffusion Accelerator for Scalable Stencil Computations}, booktitle = {29th International Conference on Field Programmable Logic and Applications, {FPL} 2019, Barcelona, Spain, September 8-12, 2019}, pages = {263--269}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/FPL.2019.00050}, doi = {10.1109/FPL.2019.00050}, timestamp = {Thu, 03 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpl/SinghDHSC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/WijningsSVC19, author = {Patrick W. A. Wijnings and Sander Stuijk and Bert de Vries and Henk Corporaal}, title = {Robust Bayesian Beamforming for Sources at Different Distances with Applications in Urban Monitoring}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2019, Brighton, United Kingdom, May 12-17, 2019}, pages = {4325--4329}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICASSP.2019.8682835}, doi = {10.1109/ICASSP.2019.8682835}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/WijningsSVC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/DeHC19, author = {Sayandip De and Jos Huisken and Henk Corporaal}, title = {An Automated Approximation Methodology for Arithmetic Circuits}, booktitle = {2019 {IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2019, Lausanne, Switzerland, July 29-31, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISLPED.2019.8824974}, doi = {10.1109/ISLPED.2019.8824974}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/DeHC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/meco/LierWC19, author = {Michel van Lier and Luc Waeijen and Henk Corporaal}, title = {Bitwise Neural Network Acceleration: Opportunities and Challenges}, booktitle = {8th Mediterranean Conference on Embedded Computing, {MECO} 2019, Budva, Montenegro, June 10-14, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/MECO.2019.8760178}, doi = {10.1109/MECO.2019.8760178}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/meco/LierWC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/meco/MohamedDBNGCB19, author = {Sajid Mohamed and Sayandip De and Konstantinos Bimpisidis and Vishak Nathan and Dip Goswami and Henk Corporaal and Twan Basten}, title = {{IMACS:} {A} Framework for Performance Evaluation of Image Approximation in a Closed-loop System}, booktitle = {8th Mediterranean Conference on Embedded Computing, {MECO} 2019, Budva, Montenegro, June 10-14, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/MECO.2019.8760055}, doi = {10.1109/MECO.2019.8760055}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/meco/MohamedDBNGCB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/WaeijenSHPC19, author = {Luc Waeijen and Savvas Sioutas and Yifan He and Maurice Peemen and Henk Corporaal}, editor = {Dionisios N. Pnevmatikatos and Maxime Pelcat and Matthias Jung}, title = {Automatic Memory-Efficient Scheduling of CNNs}, booktitle = {Embedded Computer Systems: Architectures, Modeling, and Simulation - 19th International Conference, {SAMOS} 2019, Samos, Greece, July 7-11, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11733}, pages = {387--400}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-27562-4\_28}, doi = {10.1007/978-3-030-27562-4\_28}, timestamp = {Fri, 09 Aug 2019 14:45:31 +0200}, biburl = {https://dblp.org/rec/conf/samos/WaeijenSHPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/SinghDSHC19, author = {Gagandeep Singh and Dionysios Diamantopoulos and Sander Stuijk and Christoph Hagleitner and Henk Corporaal}, editor = {Dionisios N. Pnevmatikatos and Maxime Pelcat and Matthias Jung}, title = {Low Precision Processing for High Order Stencil Computations}, booktitle = {Embedded Computer Systems: Architectures, Modeling, and Simulation - 19th International Conference, {SAMOS} 2019, Samos, Greece, July 7-11, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11733}, pages = {403--415}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-27562-4\_29}, doi = {10.1007/978-3-030-27562-4\_29}, timestamp = {Thu, 03 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/samos/SinghDSHC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scopes/BanaGozarVSCWLY19, author = {Ali BanaGozar and Kanishkan Vadivel and Sander Stuijk and Henk Corporaal and Stephan Wong and Muath Abu Lebdeh and Jintao Yu and Said Hamdioui}, editor = {Sander Stuijk}, title = {{CIM-SIM:} Computation In Memory SIMuIator}, booktitle = {Proceedings of the 22nd International Workshop on Software and Compilers for Embedded Systems, {SCOPES} '19, Sankt Goar, Germany, May 27-28, 2019}, pages = {1--4}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3323439.3323989}, doi = {10.1145/3323439.3323989}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/scopes/BanaGozarVSCWLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scopes/CordaSAJC19, author = {Stefano Corda and Gagandeep Singh and Ahsan Javed Awan and Roel Jordans and Henk Corporaal}, editor = {Sander Stuijk}, title = {Memory and Parallelism Analysis Using a Platform-Independent Approach}, booktitle = {Proceedings of the 22nd International Workshop on Software and Compilers for Embedded Systems, {SCOPES} '19, Sankt Goar, Germany, May 27-28, 2019}, pages = {23--26}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3323439.3323988}, doi = {10.1145/3323439.3323988}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/scopes/CordaSAJC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scopes/VadivelJSCJK19, author = {Kanishkan Vadivel and Roel Jordans and Sander Stuijk and Henk Corporaal and Pekka J{\"{a}}{\"{a}}skel{\"{a}}inen and Heikki Kultala}, editor = {Sander Stuijk}, title = {Towards Efficient Code Generation for Exposed Datapath Architectures}, booktitle = {Proceedings of the 22nd International Workshop on Software and Compilers for Embedded Systems, {SCOPES} '19, Sankt Goar, Germany, May 27-28, 2019}, pages = {86--89}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3323439.3323990}, doi = {10.1145/3323439.3323990}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/scopes/VadivelJSCJK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-06550, author = {Bojian Yin and Siebren Schaafsma and Henk Corporaal and H. Steven Scholte and Sander M. Boht{\'{e}}}, title = {LocalNorm: Robust Image Classification through Dynamically Regularized Normalization}, journal = {CoRR}, volume = {abs/1902.06550}, year = {2019}, url = {http://arxiv.org/abs/1902.06550}, eprinttype = {arXiv}, eprint = {1902.06550}, timestamp = {Fri, 26 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-06550.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-08762, author = {Stefano Corda and Gagandeep Singh and Ahsan Javed Awan and Roel Jordans and Henk Corporaal}, title = {Memory and Parallelism Analysis Using a Platform-Independent Approach}, journal = {CoRR}, volume = {abs/1904.08762}, year = {2019}, url = {http://arxiv.org/abs/1904.08762}, eprinttype = {arXiv}, eprint = {1904.08762}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-08762.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1906-10037, author = {Stefano Corda and Gagandeep Singh and Ahsan Javed Awan and Roel Jordans and Henk Corporaal}, title = {Platform Independent Software Analysis for Near Memory Computing}, journal = {CoRR}, volume = {abs/1906.10037}, year = {2019}, url = {http://arxiv.org/abs/1906.10037}, eprinttype = {arXiv}, eprint = {1906.10037}, timestamp = {Thu, 03 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1906-10037.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-02640, author = {Gagandeep Singh and Lorenzo Chelini and Stefano Corda and Ahsan Javed Awan and Sander Stuijk and Roel Jordans and Henk Corporaal and Albert{-}Jan Boonstra}, title = {Near-Memory Computing: Past, Present, and Future}, journal = {CoRR}, volume = {abs/1908.02640}, year = {2019}, url = {http://arxiv.org/abs/1908.02640}, eprinttype = {arXiv}, eprint = {1908.02640}, timestamp = {Thu, 03 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-02640.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/JongeriusADMVC18, author = {Rik Jongerius and Andreea Anghel and Gero Dittmann and Giovanni Mariani and Erik Vermij and Henk Corporaal}, title = {Analytic Multi-Core Processor Model for Fast Design-Space Exploration}, journal = {{IEEE} Trans. Computers}, volume = {67}, number = {6}, pages = {755--770}, year = {2018}, url = {https://doi.org/10.1109/TC.2017.2780239}, doi = {10.1109/TC.2017.2780239}, timestamp = {Fri, 01 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/JongeriusADMVC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgo/SioutasSCBS18, author = {Savvas Sioutas and Sander Stuijk and Henk Corporaal and Twan Basten and Lou J. Somers}, editor = {Jens Knoop and Markus Schordan and Teresa Johnson and Michael F. P. O'Boyle}, title = {Loop transformations leveraging hardware prefetching}, booktitle = {Proceedings of the 2018 International Symposium on Code Generation and Optimization, {CGO} 2018, V{\"{o}}sendorf / Vienna, Austria, February 24-28, 2018}, pages = {254--264}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3168823}, doi = {10.1145/3168823}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cgo/SioutasSCBS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/WaeijenJCH18, author = {Luc Waeijen and Hailong Jiao and Henk Corporaal and Yifan He}, editor = {Martin Novotn{\'{y}} and Nikos Konofaos and Amund Skavhaug}, title = {Datawidth-Aware Energy-Efficient Multipliers: {A} Case for Going Sign Magnitude}, booktitle = {21st Euromicro Conference on Digital System Design, {DSD} 2018, Prague, Czech Republic, August 29-31, 2018}, pages = {54--61}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/DSD.2018.00024}, doi = {10.1109/DSD.2018.00024}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/WaeijenJCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/MousaviPTJC18, author = {Mahsa Mousavi and Hamid Reza Pourshaghaghi and Mohammad Tahghighi and Roel Jordans and Henk Corporaal}, editor = {Martin Novotn{\'{y}} and Nikos Konofaos and Amund Skavhaug}, title = {A Generic Methodology to Compute Design Sensitivity to {SEU} in SRAM-Based {FPGA}}, booktitle = {21st Euromicro Conference on Digital System Design, {DSD} 2018, Prague, Czech Republic, August 29-31, 2018}, pages = {221--228}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/DSD.2018.00050}, doi = {10.1109/DSD.2018.00050}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/MousaviPTJC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/DeHC18, author = {Sayandip De and Jos Huisken and Henk Corporaal}, editor = {Martin Novotn{\'{y}} and Nikos Konofaos and Amund Skavhaug}, title = {Designing Energy Efficient Approximate Multipliers for Neural Acceleration}, booktitle = {21st Euromicro Conference on Digital System Design, {DSD} 2018, Prague, Czech Republic, August 29-31, 2018}, pages = {288--295}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/DSD.2018.00059}, doi = {10.1109/DSD.2018.00059}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/DeHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/BruinZC18, author = {Barry de Bruin and Zoran Zivkovic and Henk Corporaal}, editor = {Martin Novotn{\'{y}} and Nikos Konofaos and Amund Skavhaug}, title = {Quantization of Constrained Processor Data Paths Applied to Convolutional Neural Networks}, booktitle = {21st Euromicro Conference on Digital System Design, {DSD} 2018, Prague, Czech Republic, August 29-31, 2018}, pages = {357--364}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/DSD.2018.00069}, doi = {10.1109/DSD.2018.00069}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/BruinZC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/SinghCCASJCB18, author = {Gagandeep Singh and Lorenzo Chelini and Stefano Corda and Ahsan Javed Awan and Sander Stuijk and Roel Jordans and Henk Corporaal and Albert{-}Jan Boonstra}, editor = {Martin Novotn{\'{y}} and Nikos Konofaos and Amund Skavhaug}, title = {A Review of Near-Memory Computing Architectures: Opportunities and Challenges}, booktitle = {21st Euromicro Conference on Digital System Design, {DSD} 2018, Prague, Czech Republic, August 29-31, 2018}, pages = {608--617}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/DSD.2018.00106}, doi = {10.1109/DSD.2018.00106}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/SinghCCASJCB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icarcv/YeCJN18, author = {Zhenyu Ye and Henk Corporaal and Pieter Jonker and Henk Nijmeijer}, title = {Cross-Domain Modeling and Optimization of High-Speed Visual Servo Systems}, booktitle = {15th International Conference on Control, Automation, Robotics and Vision, {ICARCV} 2018, Singapore, November 18-21, 2018}, pages = {1791--1798}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICARCV.2018.8581266}, doi = {10.1109/ICARCV.2018.8581266}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icarcv/YeCJN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/IJzermanVJKLPCT18, author = {Jos IJzerman and Timo Viitanen and Pekka J{\"{a}}{\"{a}}skel{\"{a}}inen and Heikki Kultala and Lasse Lehtonen and Maurice Peemen and Henk Corporaal and Jarmo Takala}, editor = {Trevor N. Mudge and Dionisios N. Pnevmatikatos}, title = {AivoTTA: an energy efficient programmable accelerator for CNN-based object recognition}, booktitle = {Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, Pythagorion, Greece, July 15-19, 2018}, pages = {28--37}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3229631.3229637}, doi = {10.1145/3229631.3229637}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/samos/IJzermanVJKLPCT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scopes/BastosSVSC18, author = {Jo{\~{a}}o Bastos and Sander Stuijk and Jeroen Voeten and Ramon R. H. Schiffelers and Henk Corporaal}, editor = {Sander Stuijk}, title = {Exploiting Specification Modularity to Prune the Optimization-Space of Manufacturing Systems}, booktitle = {Proceedings of the 21st International Workshop on Software and Compilers for Embedded Systems, {SCOPES} 2018, Sankt Goar, Germany, May 28-30, 2018}, pages = {1--9}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3207719.3207728}, doi = {10.1145/3207719.3207728}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/scopes/BastosSVSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/JordansJCC17, author = {Roel Jordans and Lech J{\'{o}}zwiak and Henk Corporaal and Rosilde Corvino}, title = {Automatic instruction-set architecture synthesis for {VLIW} processor cores in the {ASAM} project}, journal = {Microprocess. Microsystems}, volume = {51}, pages = {114--133}, year = {2017}, url = {https://doi.org/10.1016/j.micpro.2017.04.011}, doi = {10.1016/J.MICPRO.2017.04.011}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/JordansJCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/VockeCJCN17, author = {Sander Vocke and Henk Corporaal and Roel Jordans and Rosilde Corvino and Rick J. M. Nas}, title = {Extending Halide to Improve Software Development for Imaging DSPs}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {14}, number = {3}, pages = {21:1--21:25}, year = {2017}, url = {https://doi.org/10.1145/3106343}, doi = {10.1145/3106343}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/VockeCJCN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/LiS0L0C17, author = {Ang Li and Shuaiwen Leon Song and Weifeng Liu and Xu Liu and Akash Kumar and Henk Corporaal}, editor = {Yunji Chen and Olivier Temam and John Carter}, title = {Locality-Aware {CTA} Clustering for Modern GPUs}, booktitle = {Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2017, Xi'an, China, April 8-12, 2017}, pages = {297--311}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3037697.3037709}, doi = {10.1145/3037697.3037709}, timestamp = {Sun, 18 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asplos/LiS0L0C17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PoddarJFMDAC17, author = {Sandeep Poddar and Rik Jongerius and Leandro Fiorin and Giovanni Mariani and Gero Dittmann and Andreea Anghel and Henk Corporaal}, editor = {David Atienza and Giorgio Di Natale}, title = {MeSAP: {A} fast analytic power model for {DRAM} memories}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017}, pages = {49--54}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/DATE.2017.7926957}, doi = {10.23919/DATE.2017.7926957}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/PoddarJFMDAC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HamdiouiKCXWJEC17, author = {Said Hamdioui and Shahar Kvatinsky and Gert Cauwenberghs and Lei Xie and Nimrod Wald and Siddharth Joshi and Hesham Mostafa Elsayed and Henk Corporaal and Koen Bertels}, editor = {David Atienza and Giorgio Di Natale}, title = {Memristor for computing: Myth or reality?}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017}, pages = {722--731}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/DATE.2017.7927083}, doi = {10.23919/DATE.2017.7927083}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HamdiouiKCXWJEC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/VadivelWJC17, author = {Kanishkan Vadivel and Mark Wijtvliet and Roel Jordans and Henk Corporaal}, editor = {Hana Kub{\'{a}}tov{\'{a}} and Martin Novotn{\'{y}} and Amund Skavhaug}, title = {Loop Overhead Reduction Techniques for Coarse Grained Reconfigurable Architectures}, booktitle = {Euromicro Conference on Digital System Design, {DSD} 2017, Vienna, Austria, August 30 - Sept. 1, 2017}, pages = {14--21}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/DSD.2017.83}, doi = {10.1109/DSD.2017.83}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/VadivelWJC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/BastosSDVSSC17, author = {Jo{\~{a}}o Bastos and Bram van der Sanden and Olaf Donk and Jeroen Voeten and Sander Stuijk and Ramon R. H. Schiffelers and Henk Corporaal}, editor = {Franco Fummi and Hiren D. Patel and Samarjit Chakraborty}, title = {Identifying bottlenecks in manufacturing systems using stochastic criticality analysis}, booktitle = {2017 Forum on Specification and Design Languages, {FDL} 2017, Verona, Italy, September 18-20, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FDL.2017.8303901}, doi = {10.1109/FDL.2017.8303901}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fdl/BastosSDVSSC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fiee/FernandoWKHBCD16, author = {Shakith Fernando and Wenjin Wang and Ihor O. Kirenko and Gerard de Haan and Sidarto Bambang{-}Oetomo and Henk Corporaal and Jan van Dalfsen}, title = {Feasibility of Contactless Pulse Rate Monitoring of Neonates using Google Glass}, journal = {{EAI} Endorsed Trans. Future Intell. Educ. Environ.}, volume = {2}, number = {7}, pages = {e5}, year = {2016}, url = {https://doi.org/10.4108/eai.14-10-2015.2261589}, doi = {10.4108/EAI.14-10-2015.2261589}, timestamp = {Wed, 16 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fiee/FernandoWKHBCD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigbed/AdyanthayaABBSP16, author = {Shreya Adyanthaya and Hadi Alizadeh Ara and Jo{\~{a}}o Bastos and Amir R. B. Behrouzian and R{\'{o}}binson Medina S{\'{a}}nchez and Joost van Pinxten and Bram van der Sanden and Umar Waqas and Twan Basten and Henk Corporaal and Raymond Frijns and Marc Geilen and Dip Goswami and Martijn Hendriks and Sander Stuijk and Michel A. Reniers and Jeroen Voeten}, title = {xCPS: a tool to explore cyber physical systems}, journal = {{SIGBED} Rev.}, volume = {14}, number = {1}, pages = {81--95}, year = {2016}, url = {https://doi.org/10.1145/3036686.3036696}, doi = {10.1145/3036686.3036696}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigbed/AdyanthayaABBSP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/BraakC16, author = {Gert{-}Jan van den Braak and Henk Corporaal}, title = {{R-GPU:} {A} Reconfigurable {GPU} Architecture}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {13}, number = {1}, pages = {12:1--12:24}, year = {2016}, url = {https://doi.org/10.1145/2890506}, doi = {10.1145/2890506}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/BraakC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BraakGGCM16, author = {Gert{-}Jan van den Braak and Juan G{\'{o}}mez{-}Luna and Jos{\'{e}} Mar{\'{\i}}a Gonz{\'{a}}lez{-}Linares and Henk Corporaal and Nicol{\'{a}}s Guil}, title = {Configurable {XOR} Hash Functions for Banked Scratchpad Memories in GPUs}, journal = {{IEEE} Trans. Computers}, volume = {65}, number = {7}, pages = {2045--2058}, year = {2016}, url = {https://doi.org/10.1109/TC.2015.2479595}, doi = {10.1109/TC.2015.2479595}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/BraakGGCM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SiyoumGC16, author = {Firew Siyoum and Marc Geilen and Henk Corporaal}, title = {End-to-End Latency Analysis of Dataflow Scenarios Mapped Onto Shared Heterogeneous Resources}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {35}, number = {4}, pages = {535--548}, year = {2016}, url = {https://doi.org/10.1109/TCAD.2015.2472004}, doi = {10.1109/TCAD.2015.2472004}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/SiyoumGC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiSKZCC16, author = {Ang Li and Shuaiwen Leon Song and Akash Kumar and Eddy Z. Zhang and Daniel G. Chavarr{\'{\i}}a{-}Miranda and Henk Corporaal}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {Critical points based register-concurrency autotuning for GPUs}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {1273--1278}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459506/}, timestamp = {Sun, 18 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiSKZCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PeemenSLJMC16, author = {Maurice Peemen and Runbin Shi and Sohan Lal and Ben H. H. Juurlink and Bart Mesman and Henk Corporaal}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {The neuro vector engine: Flexibility to improve convolutional net efficiency for wearable vision}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {1604--1609}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459569/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PeemenSLJMC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/AdriaansenWJWC16, author = {Michael Adriaansen and Mark Wijtvliet and Roel Jordans and Luc Waeijen and Henk Corporaal}, editor = {Paris Kitsos}, title = {Code Generation for Reconfigurable Explicit Datapath Architectures with {LLVM}}, booktitle = {2016 Euromicro Conference on Digital System Design, {DSD} 2016, Limassol, Cyprus, August 31 - September 2, 2016}, pages = {30--37}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/DSD.2016.88}, doi = {10.1109/DSD.2016.88}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/AdriaansenWJWC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/GengWPCH16, author = {Tong Geng and Luc Waeijen and Maurice Peemen and Henk Corporaal and Yifan He}, editor = {Paris Kitsos}, title = {MacSim: {A} MAC-Enabled High-Performance Low-Power {SIMD} Architecture}, booktitle = {2016 Euromicro Conference on Digital System Design, {DSD} 2016, Limassol, Cyprus, August 31 - September 2, 2016}, pages = {160--167}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/DSD.2016.27}, doi = {10.1109/DSD.2016.27}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/GengWPCH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/WaqasGSPBSC16, author = {Umar Waqas and Marc Geilen and Sander Stuijk and Joost van Pinxten and Twan Basten and Lou J. Somers and Henk Corporaal}, editor = {Paris Kitsos}, title = {A Fast Estimator of Performance with Respect to the Design Parameters of Self Re-Entrant Flowshops}, booktitle = {2016 Euromicro Conference on Digital System Design, {DSD} 2016, Limassol, Cyprus, August 31 - September 2, 2016}, pages = {215--221}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/DSD.2016.26}, doi = {10.1109/DSD.2016.26}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/WaqasGSPBSC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/LouwersWWKC16, author = {Stef Louwers and Luc Waeijen and Mark Wijtvliet and Ruud Koolen and Henk Corporaal}, editor = {Paris Kitsos}, title = {Multi-granular Arithmetic in a Coarse-Grain Reconfigurable Architecture}, booktitle = {2016 Euromicro Conference on Digital System Design, {DSD} 2016, Limassol, Cyprus, August 31 - September 2, 2016}, pages = {599--606}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/DSD.2016.98}, doi = {10.1109/DSD.2016.98}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/LouwersWWKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/ComaschiSBC16, author = {Francesco Comaschi and Sander Stuijk and Twan Basten and Henk Corporaal}, title = {Robust online face tracking-by-detection}, booktitle = {{IEEE} International Conference on Multimedia and Expo, {ICME} 2016, Seattle, WA, USA, July 11-15, 2016}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICME.2016.7552914}, doi = {10.1109/ICME.2016.7552914}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/ComaschiSBC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiSWKC16, author = {Ang Li and Shuaiwen Leon Song and Mark Wijtvliet and Akash Kumar and Henk Corporaal}, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {SFU-Driven Transparent Approximation Acceleration on GPUs}, booktitle = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, pages = {15:1--15:14}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2925426.2926255}, doi = {10.1145/2925426.2926255}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LiSWKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/LiSB0CC16, author = {Ang Li and Shuaiwen Leon Song and Eric Brugel and Akash Kumar and Daniel G. Chavarr{\'{\i}}a{-}Miranda and Henk Corporaal}, title = {{X:} {A} Comprehensive Analytic Model for Parallel Machines}, booktitle = {2016 {IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2016, Chicago, IL, USA, May 23-27, 2016}, pages = {242--252}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IPDPS.2016.89}, doi = {10.1109/IPDPS.2016.89}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/LiSB0CC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nanoarch/YuNHHCB16, author = {Jintao Yu and Razvan Nane and Adib Haron and Said Hamdioui and Henk Corporaal and Koen Bertels}, title = {Skeleton-based design and simulation flow for Computation-in-Memory architectures}, booktitle = {{IEEE/ACM} International Symposium on Nanoscale Architectures, {NANOARCH} 2016, Beijing, China, July 18-20, 2016}, pages = {165--170}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2950067.2950071}, doi = {10.1145/2950067.2950071}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nanoarch/YuNHHCB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/HePWDFRCG16, author = {Yifan He and Maurice Peemen and Luc Waeijen and Erkan Diken and Mattia Fiumara and Gerard K. Rauwerda and Henk Corporaal and Tong Geng}, editor = {Walid A. Najjar and Andreas Gerstlauer}, title = {A configurable {SIMD} architecture with explicit datapath for intelligent learning}, booktitle = {International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, {SAMOS} 2016, Agios Konstantinos, Samos Island, Greece, July 17-21, 2016}, pages = {156--163}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SAMOS.2016.7818343}, doi = {10.1109/SAMOS.2016.7818343}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/samos/HePWDFRCG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/WijtvlietWC16, author = {Mark Wijtvliet and Luc Waeijen and Henk Corporaal}, editor = {Walid A. Najjar and Andreas Gerstlauer}, title = {Coarse grained reconfigurable architectures in the past 25 years: Overview and classification}, booktitle = {International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, {SAMOS} 2016, Agios Konstantinos, Samos Island, Greece, July 17-21, 2016}, pages = {235--244}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SAMOS.2016.7818353}, doi = {10.1109/SAMOS.2016.7818353}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/samos/WijtvlietWC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scopes/KoekGHCB16, author = {Peter Koek and Stefan J. Geuns and Joost P. H. M. Hausmans and Henk Corporaal and Marco Jan Gerrit Bekooij}, editor = {Sander Stuijk}, title = {CSDFa: {A} Model for Exploiting the Trade-Off between Data and Pipeline Parallelism}, booktitle = {Proceedings of the 19th International Workshop on Software and Compilers for Embedded Systems, {SCOPES} 2016, Sankt Goar, Germany, May 23-25, 2016}, pages = {30--39}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2906363.2906364}, doi = {10.1145/2906363.2906364}, timestamp = {Tue, 06 Nov 2018 16:58:57 +0100}, biburl = {https://dblp.org/rec/conf/scopes/KoekGHCB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/TabikPGC15, author = {Siham Tabik and Maurice Peemen and Nicol{\'{a}}s Guil and Henk Corporaal}, title = {Demystifying the 16 {\texttimes} 16 thread-block for stencils on the {GPU}}, journal = {Concurr. Comput. Pract. Exp.}, volume = {27}, number = {18}, pages = {5557--5573}, year = {2015}, url = {https://doi.org/10.1002/cpe.3591}, doi = {10.1002/CPE.3591}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/TabikPGC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/inffus/MaattaHAC15, author = {Tommi M{\"{a}}{\"{a}}tt{\"{a}} and Aki H{\"{a}}rm{\"{a}} and Hamid K. Aghajan and Henk Corporaal}, title = {Collaborative detection of repetitive behavior by multiple uncalibrated cameras}, journal = {Inf. Fusion}, volume = {21}, pages = {68--81}, year = {2015}, url = {https://doi.org/10.1016/j.inffus.2014.01.010}, doi = {10.1016/J.INFFUS.2014.01.010}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/inffus/MaattaHAC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/LiKHC15, author = {Ang Li and Akash Kumar and Yajun Ha and Henk Corporaal}, title = {Correlation ratio based volume image registration on GPUs}, journal = {Microprocess. Microsystems}, volume = {39}, number = {8}, pages = {998--1011}, year = {2015}, url = {https://doi.org/10.1016/j.micpro.2015.04.002}, doi = {10.1016/J.MICPRO.2015.04.002}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/LiKHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/WaeijenSCH15, author = {Luc Waeijen and Dongrui She and Henk Corporaal and Yifan He}, title = {A Low-Energy Wide {SIMD} Architecture with Explicit Datapath}, journal = {J. Signal Process. Syst.}, volume = {80}, number = {1}, pages = {65--86}, year = {2015}, url = {https://doi.org/10.1007/s11265-014-0950-8}, doi = {10.1007/S11265-014-0950-8}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/WaeijenSCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/SheHWC15, author = {Dongrui She and Yifan He and Luc Waeijen and Henk Corporaal}, title = {A Co-Design Framework with OpenCL Support for Low-Energy Wide {SIMD} Processor}, journal = {J. Signal Process. Syst.}, volume = {80}, number = {1}, pages = {87--101}, year = {2015}, url = {https://doi.org/10.1007/s11265-014-0957-1}, doi = {10.1007/S11265-014-0957-1}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/SheHWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/DikenOJJCM15, author = {Erkan Diken and Martin J. O'Riordan and Roel Jordans and Lech J{\'{o}}zwiak and Henk Corporaal and David Moloney}, title = {Mixed-length {SIMD} code generation for {VLIW} architectures with multiple native vector-widths}, booktitle = {26th {IEEE} International Conference on Application-specific Systems, Architectures and Processors, {ASAP} 2015, Toronto, ON, Canada, July 27-29, 2015}, pages = {181--188}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ASAP.2015.7245732}, doi = {10.1109/ASAP.2015.7245732}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asap/DikenOJJCM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/avss/ComaschiSBC15, author = {Francesco Comaschi and Sander Stuijk and Twan Basten and Henk Corporaal}, title = {Online multi-face detection and tracking using detector confidence and structured SVMs}, booktitle = {12th {IEEE} International Conference on Advanced Video and Signal Based Surveillance, {AVSS} 2015, Karlsruhe, Germany, August 25-28, 2015}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/AVSS.2015.7301767}, doi = {10.1109/AVSS.2015.7301767}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/avss/ComaschiSBC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PeemenMC15, author = {Maurice Peemen and Bart Mesman and Henk Corporaal}, editor = {Wolfgang Nebel and David Atienza}, title = {Inter-tile reuse optimization applied to bandwidth constrained embedded accelerators}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {169--174}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755790}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PeemenMC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FernandoWNKC15, author = {Shakith Fernando and Mark Wijtvliet and Cedric Nugteren and Akash Kumar and Henk Corporaal}, editor = {Wolfgang Nebel and David Atienza}, title = {(AS)\({}^{\mbox{2}}\): accelerator synthesis using algorithmic skeletons for rapid design space exploration}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {305--308}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755821}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FernandoWNKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WaqasGKSBSVC15, author = {Umar Waqas and Marc Geilen and Jack Kandelaars and Lou J. Somers and Twan Basten and Sander Stuijk and Patrick Vestjens and Henk Corporaal}, editor = {Wolfgang Nebel and David Atienza}, title = {A re-entrant flowshop heuristic for online scheduling of the paper path in a large scale printer}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {573--578}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755882}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WaqasGKSBSVC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HamdiouiXNTBCJC15, author = {Said Hamdioui and Lei Xie and Hoang Anh Du Nguyen and Mottaqiallah Taouil and Koen Bertels and Henk Corporaal and Hailong Jiao and Francky Catthoor and Dirk J. Wouters and Eike Linn and Jan van Lunteren}, editor = {Wolfgang Nebel and David Atienza}, title = {Memristor based computation-in-memory architecture for data-intensive applications}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1718--1725}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757210}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HamdiouiXNTBCJC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/ShiXSPLCW15, author = {Runbin Shi and Zheng Xu and Zhihao Sun and Maurice Peemen and Ang Li and Henk Corporaal and Di Wu}, title = {A Locality Aware Convolutional Neural Networks Accelerator}, booktitle = {2015 Euromicro Conference on Digital System Design, {DSD} 2015, Madeira, Portugal, August 26-28, 2015}, pages = {591--598}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/DSD.2015.70}, doi = {10.1109/DSD.2015.70}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/ShiXSPLCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esweek/AdyanthayaABBSP15, author = {Shreya Adyanthaya and Hadi Alizadeh Ara and Jo{\~{a}}o Bastos and Amir R. B. Behrouzian and R{\'{o}}binson Medina S{\'{a}}nchez and Joost van Pinxten and Bram van der Sanden and Umar Waqas and Twan Basten and Henk Corporaal and Raymond Frijns and Marc Geilen and Dip Goswami and Sander Stuijk and Michel A. Reniers and Jeroen Voeten}, editor = {Martin T{\"{o}}rngren and Martin Edin Grimheden}, title = {xCPS: {A} tool to eXplore Cyber Physical Systems}, booktitle = {Proceedings of the Workshop on Embedded and Cyber-Physical Systems Education, {WESE} 2015, Amsterdam, The Netherlands, October 4-9, 2015}, pages = {3:1--3:8}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2832920.2832923}, doi = {10.1145/2832920.2832923}, timestamp = {Mon, 14 Dec 2020 08:24:13 +0100}, biburl = {https://dblp.org/rec/conf/esweek/AdyanthayaABBSP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/OomenNKC15, author = {Roel Oomen and Tuan D. A. Nguyen and Akash Kumar and Henk Corporaal}, title = {An automated technique to generate relocatable partial bitstreams for Xilinx FPGAs}, booktitle = {25th International Conference on Field Programmable Logic and Applications, {FPL} 2015, London, United Kingdom, September 2-4, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPL.2015.7293980}, doi = {10.1109/FPL.2015.7293980}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/fpl/OomenNKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/WijtvlietFC15, author = {Mark Wijtvliet and Shakith Fernando and Henk Corporaal}, title = {{SPINE:} From {C} loop-nests to highly efficient accelerators using Algorithmic Species}, booktitle = {25th International Conference on Field Programmable Logic and Applications, {FPL} 2015, London, United Kingdom, September 2-4, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPL.2015.7294015}, doi = {10.1109/FPL.2015.7294015}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpl/WijtvlietFC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpdc/LiTKC15, author = {Ang Li and Y. C. Tay and Akash Kumar and Henk Corporaal}, editor = {Thilo Kielmann and Dean Hildebrand and Michela Taufer}, title = {Transit: {A} Visual Analytical Model for Multithreaded Machines}, booktitle = {Proceedings of the 24th International Symposium on High-Performance Parallel and Distributed Computing, {HPDC} 2015, Portland, OR, USA, June 15-19, 2015}, pages = {101--106}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2749246.2749265}, doi = {10.1145/2749246.2749265}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpdc/LiTKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JongeriusMADVC15, author = {Rik Jongerius and Giovanni Mariani and Andreea Anghel and Gero Dittmann and Erik Vermij and Henk Corporaal}, title = {Analytic processor model for fast design-space exploration}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {411--414}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357136}, doi = {10.1109/ICCD.2015.7357136}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JongeriusMADVC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/LiBCK15, author = {Ang Li and Gert{-}Jan van den Braak and Henk Corporaal and Akash Kumar}, editor = {Laxmi N. Bhuyan and Fred Chong and Vivek Sarkar}, title = {Fine-Grained Synchronizations and Dataflow Programming on GPUs}, booktitle = {Proceedings of the 29th {ACM} on International Conference on Supercomputing, ICS'15, Newport Beach/Irvine, CA, USA, June 08 - 11, 2015}, pages = {109--118}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751205.2751232}, doi = {10.1145/2751205.2751232}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/LiBCK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/BastosSVSJC15, author = {Jo{\~{a}}o Bastos and Sander Stuijk and Jeroen Voeten and Ramon R. H. Schiffelers and Johan Jacobs and Henk Corporaal}, title = {Modeling resource sharing using {FSM-SADF}}, booktitle = {13. {ACM/IEEE} International Conference on Formal Methods and Models for Codesign, {MEMOCODE} 2015, Austin, TX, USA, September 21-23, 2015}, pages = {96--101}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/MEMCOD.2015.7340475}, doi = {10.1109/MEMCOD.2015.7340475}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/memocode/BastosSVSJC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/LiBKC15, author = {Ang Li and Gert{-}Jan van den Braak and Akash Kumar and Henk Corporaal}, editor = {Jackie Kern and Jeffrey S. Vetter}, title = {Adaptive and transparent cache bypassing for GPUs}, booktitle = {Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, {SC} 2015, Austin, TX, USA, November 15-20, 2015}, pages = {17:1--17:12}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2807591.2807606}, doi = {10.1145/2807591.2807606}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/LiBKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scopes/JordansC15, author = {Roel Jordans and Henk Corporaal}, editor = {Henk Corporaal and Sander Stuijk}, title = {High-level software-pipelining in {LLVM}}, booktitle = {Proceedings of the 18th International Workshop on Software and Compilers for Embedded Systems, {SCOPES} 2015, Sankt Goar, Germany, June 1-3, 2015}, pages = {97--100}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2764967.2771935}, doi = {10.1145/2764967.2771935}, timestamp = {Tue, 06 Nov 2018 16:58:57 +0100}, biburl = {https://dblp.org/rec/conf/scopes/JordansC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scopes/PeemenPMC15, author = {Maurice Peemen and Wisnu Pramadi and Bart Mesman and Henk Corporaal}, editor = {Henk Corporaal and Sander Stuijk}, title = {{VLIW} Code Generation for a Convolutional Network Accelerator}, booktitle = {Proceedings of the 18th International Workshop on Software and Compilers for Embedded Systems, {SCOPES} 2015, Sankt Goar, Germany, June 1-3, 2015}, pages = {117--120}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2764967.2771928}, doi = {10.1145/2764967.2771928}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/scopes/PeemenPMC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/scopes/2015, editor = {Henk Corporaal and Sander Stuijk}, title = {Proceedings of the 18th International Workshop on Software and Compilers for Embedded Systems, {SCOPES} 2015, Sankt Goar, Germany, June 1-3, 2015}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2764967}, doi = {10.1145/2764967}, isbn = {978-1-4503-3593-5}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/scopes/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/JongeriusWNC14, author = {Rik Jongerius and Stefan J. Wijnholds and Ronald Nijboer and Henk Corporaal}, title = {An End-to-End Computing Model for the Square Kilometre Array}, journal = {Computer}, volume = {47}, number = {9}, pages = {48--54}, year = {2014}, url = {https://doi.org/10.1109/MC.2014.235}, doi = {10.1109/MC.2014.235}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/JongeriusWNC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/DikenJCJCC14, author = {Erkan Diken and Roel Jordans and Rosilde Corvino and Lech J{\'{o}}zwiak and Henk Corporaal and Felipe Augusto Chies}, title = {Construction and exploitation of {VLIW} ASIPs with heterogeneous vector-widths}, journal = {Microprocess. Microsystems}, volume = {38}, number = {8}, pages = {947--959}, year = {2014}, url = {https://doi.org/10.1016/j.micpro.2014.05.004}, doi = {10.1016/J.MICPRO.2014.05.004}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/DikenJCJCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/NugterenC14, author = {Cedric Nugteren and Henk Corporaal}, title = {Bones: An Automatic Skeleton-Based C-to-CUDA Compiler for GPUs}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {11}, number = {4}, pages = {35:1--35:25}, year = {2014}, url = {https://doi.org/10.1145/2665079}, doi = {10.1145/2665079}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/NugterenC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WaeijenSCH14, author = {Luc Waeijen and Dongrui She and Henk Corporaal and Yifan He}, title = {Reduction Operator for Wide-SIMDs Reconsidered}, booktitle = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San Francisco, CA, USA, June 1-5, 2014}, pages = {108:1--108:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2593069.2593198}, doi = {10.1145/2593069.2593198}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WaeijenSCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SiyoumGC14, author = {Firew Siyoum and Marc Geilen and Henk Corporaal}, title = {Symbolic Analysis of Dataflow Applications Mapped onto Shared Heterogeneous Resources}, booktitle = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San Francisco, CA, USA, June 1-5, 2014}, pages = {127:1--127:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2593069.2593223}, doi = {10.1145/2593069.2593223}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/SiyoumGC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FrijnsASVGSC14, author = {Raymond Frijns and Shreya Adyanthaya and Sander Stuijk and Jeroen Voeten and Marc C. W. Geilen and Ramon R. H. Schiffelers and Henk Corporaal}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {Timing analysis of First-Come First-Served scheduled interval-timed Directed Acyclic Graphs}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--6}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.301}, doi = {10.7873/DATE.2014.301}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/FrijnsASVGSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ddecs/JordansDJC14, author = {Roel Jordans and Erkan Diken and Lech J{\'{o}}zwiak and Henk Corporaal}, title = {BuildMaster: Efficient {ASIP} architecture exploration through compilation and simulation result caching}, booktitle = {17th International Symposium on Design and Diagnostics of Electronic Circuits {\&} Systems, {DDECS} 2014, Warsaw, Poland, 23-25 April, 2014}, pages = {83--88}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/DDECS.2014.6868768}, doi = {10.1109/DDECS.2014.6868768}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ddecs/JordansDJC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/NugterenBC14, author = {Cedric Nugteren and Gert{-}Jan van den Braak and Henk Corporaal}, editor = {Lu{\'{\i}}s M. B. Lopes and Julius Zilinskas and Alexandru Costan and Roberto G. Cascella and Gabor Kecskemeti and Emmanuel Jeannot and Mario Cannataro and Laura Ricci and Siegfried Benkner and Salvador Petit and Vittorio Scarano and Jos{\'{e}} Gracia and Sascha Hunold and Stephen L. Scott and Stefan Lankes and Christian Lengauer and Jes{\'{u}}s Carretero and Jens Breitbart and Michael Alexander}, title = {A Study of the Potential of Locality-Aware Thread Scheduling for GPUs}, booktitle = {Euro-Par 2014: Parallel Processing Workshops - Euro-Par 2014 International Workshops, Porto, Portugal, August 25-26, 2014, Revised Selected Papers, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {8806}, pages = {146--157}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-14313-2\_13}, doi = {10.1007/978-3-319-14313-2\_13}, timestamp = {Sun, 12 Nov 2023 02:07:45 +0100}, biburl = {https://dblp.org/rec/conf/europar/NugterenBC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/NugterenBCB14, author = {Cedric Nugteren and Gert{-}Jan van den Braak and Henk Corporaal and Henri E. Bal}, title = {A detailed {GPU} cache model based on reuse distance theory}, booktitle = {20th {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2014, Orlando, FL, USA, February 15-19, 2014}, pages = {37--48}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/HPCA.2014.6835955}, doi = {10.1109/HPCA.2014.6835955}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/NugterenBCB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ComaschiSBC14, author = {Francesco Comaschi and Sander Stuijk and Twan Basten and Henk Corporaal}, title = {A tool for fast ground truth generation for object detection and tracking from video}, booktitle = {2014 {IEEE} International Conference on Image Processing, {ICIP} 2014, Paris, France, October 27-30, 2014}, pages = {368--372}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICIP.2014.7025073}, doi = {10.1109/ICIP.2014.7025073}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/ComaschiSBC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indin/NeryNFJC14, author = {Alexandre Solon Nery and Nadia Nedjah and Felipe Maia Galv{\~{a}}o Fran{\c{c}}a and Lech J{\'{o}}zwiak and Henk Corporaal}, title = {A framework for automatic custom instruction identification on multi-issue ASIPs}, booktitle = {12th {IEEE} International Conference on Industrial Informatics, {INDIN} 2014, Porto Alegre, RS, Brazil, July 27-30, 2014}, pages = {428--433}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/INDIN.2014.6945551}, doi = {10.1109/INDIN.2014.6945551}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/indin/NeryNFJC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lascas/NeryNFJC14, author = {Alexandre Solon Nery and Nadia Nedjah and Felipe Maia Galv{\~{a}}o Fran{\c{c}}a and Lech J{\'{o}}zwiak and Henk Corporaal}, title = {Automatic complex instruction identification for efficient application mapping onto ASIPs}, booktitle = {{IEEE} 5th Latin American Symposium on Circuits and Systems, {LASCAS} 2014, Santiago, Chile, February 25-28, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/LASCAS.2014.6820291}, doi = {10.1109/LASCAS.2014.6820291}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lascas/NeryNFJC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/meco/JordansJC14, author = {Roel Jordans and Lech J{\'{o}}zwiak and Henk Corporaal}, title = {Instruction-set architecture exploration of {VLIW} ASIPs using a genetic algorithm}, booktitle = {3rd Mediterranean Conference on Embedded Computing, {MECO} 2014, Budva, Montenegro, June 15-19, 2014}, pages = {32--35}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MECO.2014.6862720}, doi = {10.1109/MECO.2014.6862720}, timestamp = {Mon, 08 Feb 2021 13:26:44 +0100}, biburl = {https://dblp.org/rec/conf/meco/JordansJC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/meco/DikenJJC14, author = {Erkan Diken and Roel Jordans and Lech J{\'{o}}zwiak and Henk Corporaal}, title = {Construction and exploitation of {VLIW} asips with multiple vector-widths}, booktitle = {3rd Mediterranean Conference on Embedded Computing, {MECO} 2014, Budva, Montenegro, June 15-19, 2014}, pages = {244--247}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MECO.2014.6862706}, doi = {10.1109/MECO.2014.6862706}, timestamp = {Mon, 08 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/meco/DikenJJC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/scopes/2014, editor = {Henk Corporaal and Sander Stuijk}, title = {17th International Workshop on Software and Compilers for Embedded Systems, {SCOPES} '14, Sankt Goar, Germany, June 10-11, 2014}, publisher = {{ACM}}, year = {2014}, url = {http://dl.acm.org/citation.cfm?id=2609248}, isbn = {978-1-4503-2941-5}, timestamp = {Mon, 29 Sep 2014 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/scopes/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/HeSSC13, author = {Yifan He and Dongrui She and Sander Stuijk and Henk Corporaal}, title = {Efficient communication support in predictable heterogeneous MPSoC designs for streaming applications}, journal = {J. Syst. Archit.}, volume = {59}, number = {10-A}, pages = {878--888}, year = {2013}, url = {https://doi.org/10.1016/j.sysarc.2013.04.005}, doi = {10.1016/J.SYSARC.2013.04.005}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/HeSSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/NugterenCC13, author = {Cedric Nugteren and Pieter Custers and Henk Corporaal}, title = {Algorithmic species: {A} classification of affine loop nests for parallel programming}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {9}, number = {4}, pages = {40:1--40:25}, year = {2013}, url = {https://doi.org/10.1145/2400682.2400699}, doi = {10.1145/2400682.2400699}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/NugterenCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/SheHC13, author = {Dongrui She and Yifan He and Henk Corporaal}, title = {An energy-efficient method of supporting flexible special instructions in an embedded processor with compact {ISA}}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {10}, number = {3}, pages = {15:1--15:25}, year = {2013}, url = {https://doi.org/10.1145/2509420.2509426}, doi = {10.1145/2509420.2509426}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/SheHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/DamavandpeymaSBGC13, author = {Morteza Damavandpeyma and Sander Stuijk and Twan Basten and Marc Geilen and Henk Corporaal}, title = {Schedule-Extended Synchronous Dataflow Graphs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {32}, number = {10}, pages = {1495--1508}, year = {2013}, url = {https://doi.org/10.1109/TCAD.2013.2265852}, doi = {10.1109/TCAD.2013.2265852}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/DamavandpeymaSBGC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/appt/NugterenCC13, author = {Cedric Nugteren and Pieter Custers and Henk Corporaal}, editor = {Chenggang Wu and Albert Cohen}, title = {Automatic Skeleton-Based Compilation through Integration with an Algorithm Classification}, booktitle = {Advanced Parallel Processing Technologies - 10th International Symposium, {APPT} 2013, Stockholm, Sweden, August 27-28, 2013, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {8299}, pages = {184--198}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-45293-2\_14}, doi = {10.1007/978-3-642-45293-2\_14}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/appt/NugterenCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NugterenBC13, author = {Cedric Nugteren and Gert{-}Jan van den Braak and Henk Corporaal}, editor = {Enrico Macii}, title = {Future of {GPGPU} micro-architectural parameters}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {392--395}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.089}, doi = {10.7873/DATE.2013.089}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/NugterenBC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ddecs/JordansCJC13, author = {Roel Jordans and Rosilde Corvino and Lech J{\'{o}}zwiak and Henk Corporaal}, editor = {Luk{\'{a}}s Sekanina and G{\"{o}}rschwin Fey and Jaan Raik and Snorre Aunet and Richard Ruzicka}, title = {Exploring processor parallelism: Estimation methods and optimization strategies}, booktitle = {16th {IEEE} International Symposium on Design and Diagnostics of Electronic Circuits {\&} Systems, {DDECS} 2013, Karlovy Vary, Czech Republic, April 8-10, 2013}, pages = {18--23}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/DDECS.2013.6549782}, doi = {10.1109/DDECS.2013.6549782}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ddecs/JordansCJC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/JordansCJC13, author = {Roel Jordans and Rosilde Corvino and Lech J{\'{o}}zwiak and Henk Corporaal}, title = {An Efficient Method for Energy Estimation of Application Specific Instruction-Set Processors}, booktitle = {2013 Euromicro Conference on Digital System Design, {DSD} 2013, Los Alamitos, CA, USA, September 4-6, 2013}, pages = {471--474}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/DSD.2013.120}, doi = {10.1109/DSD.2013.120}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/JordansCJC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/FrijnsKSVBGC13, author = {Raymond Frijns and A. L. J. Kamp and Sander Stuijk and Jeroen Voeten and M. Bontekoe and K. J. A. Gemei and Henk Corporaal}, title = {Dataflow-Based Multi-ASIP Platform Approach for Digital Control Applications}, booktitle = {2013 Euromicro Conference on Digital System Design, {DSD} 2013, Los Alamitos, CA, USA, September 4-6, 2013}, pages = {811--814}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/DSD.2013.126}, doi = {10.1109/DSD.2013.126}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/FrijnsKSVBGC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/CoxSKC13, author = {Marco Cox and Amit Kumar Singh and Akash Kumar and Henk Corporaal}, title = {Thermal-aware mapping of streaming applications on 3D Multi-Processor Systems}, booktitle = {The 11th {IEEE} Symposium on Embedded Systems for Real-time Multimedia, Montreal, QC, Canada, October 3-4, 2013}, pages = {11--20}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ESTIMedia.2013.6704498}, doi = {10.1109/ESTIMEDIA.2013.6704498}, timestamp = {Thu, 17 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/CoxSKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/FernandoWSHSKC13, author = {Shakith Fernando and Mark Wijtvliet and Firew Siyoum and Yifan He and Sander Stuijk and Akash Kumar and Henk Corporaal}, title = {{MAMPSX:} {A} demonstration of rapid, predictable {HMPSOC} synthesis}, booktitle = {23rd International Conference on Field programmable Logic and Applications, {FPL} 2013, Porto, Portugal, September 2-4, 2013}, pages = {1}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPL.2013.6645623}, doi = {10.1109/FPL.2013.6645623}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/fpl/FernandoWSHSKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/NeryNFJC13, author = {Alexandre Solon Nery and Nadia Nedjah and Felipe M. G. Fran{\c{c}}a and Lech J{\'{o}}zwiak and Henk Corporaal}, editor = {Joanna Kolodziej and Beniamino Di Martino and Domenico Talia and Kaiqi Xiong}, title = {A Reconfigurable Ray-Tracing Multi-Processor SoC with Hardware Replication-Aware Instruction Set Extension}, booktitle = {Algorithms and Architectures for Parallel Processing - 13th International Conference, {ICA3PP} 2013, Vietri sul Mare, Italy, December 18-20, 2013, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {8285}, pages = {346--356}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-319-03859-9\_30}, doi = {10.1007/978-3-319-03859-9\_30}, timestamp = {Mon, 05 Feb 2024 20:31:37 +0100}, biburl = {https://dblp.org/rec/conf/ica3pp/NeryNFJC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PeemenSMC13, author = {Maurice Peemen and Arnaud A. A. Setio and Bart Mesman and Henk Corporaal}, title = {Memory-centric accelerator design for Convolutional Neural Networks}, booktitle = {2013 {IEEE} 31st International Conference on Computer Design, {ICCD} 2013, Asheville, NC, USA, October 6-9, 2013}, pages = {13--19}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICCD.2013.6657019}, doi = {10.1109/ICCD.2013.6657019}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PeemenSMC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BraakGCGG13, author = {Gert{-}Jan van den Braak and Juan G{\'{o}}mez{-}Luna and Henk Corporaal and Jos{\'{e}} Mar{\'{\i}}a Gonz{\'{a}}lez{-}Linares and Nicol{\'{a}}s Guil}, title = {Simulation and architecture improvements of atomic operations on {GPU} scratchpad memory}, booktitle = {2013 {IEEE} 31st International Conference on Computer Design, {ICCD} 2013, Asheville, NC, USA, October 6-9, 2013}, pages = {357--362}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICCD.2013.6657065}, doi = {10.1109/ICCD.2013.6657065}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BraakGCGG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdsc/ComaschiSBC13, author = {Francesco Comaschi and Sander Stuijk and Twan Basten and Henk Corporaal}, title = {{RASW:} {A} run-time adaptive sliding window to improve Viola-Jones object detection}, booktitle = {Seventh International Conference on Distributed Smart Cameras, {ICDSC} 2013, October 29 2013-November 1, 2013, Palm Springs, CA, {USA}}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICDSC.2013.6778224}, doi = {10.1109/ICDSC.2013.6778224}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/icdsc/ComaschiSBC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/meco/JordansCJC13, author = {Roel Jordans and Rosilde Corvino and Lech J{\'{o}}zwiak and Henk Corporaal}, title = {Instruction-set architecture exploration strategies for deeply clustered {VLIW} ASIPs}, booktitle = {2nd Mediterranean Conference on Embedded Computing, {MECO} 2013, Budva, Montenegro, June 15-20, 2013}, pages = {38--41}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/MECO.2013.6601361}, doi = {10.1109/MECO.2013.6601361}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/meco/JordansCJC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/SiyoumGEPC13, author = {Firew Siyoum and Marc Geilen and Johan Eker and Carl von Platen and Henk Corporaal}, title = {Automated extraction of scenario sequences from disciplined dataflow networks}, booktitle = {11th {ACM/IEEE} International Conference on Formal Methods and Models for Codesign, {MEMCODE} 2013, Portland, OR, USA, October 18-20, 2013}, pages = {47--56}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/document/6670940/}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/memocode/SiyoumGEPC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rsp/FernandoSHKC13, author = {Shakith Fernando and Firew Siyoum and Yifan He and Akash Kumar and Henk Corporaal}, title = {MAMPSx: {A} design framework for rapid synthesis of predictable heterogeneous MPSoCs}, booktitle = {Proceedings of the 24th {IEEE} International Symposium on Rapid System Prototyping, {RSP} 2013, Montreal, QC, Canada, October 3-4, 2013}, pages = {136--142}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/RSP.2013.6683970}, doi = {10.1109/RSP.2013.6683970}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/rsp/FernandoSHKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtas/DamavandpeymaSBGC13, author = {Morteza Damavandpeyma and Sander Stuijk and Twan Basten and Marc Geilen and Henk Corporaal}, title = {Throughput-constrained {DVFS} for scenario-aware dataflow graphs}, booktitle = {19th {IEEE} Real-Time and Embedded Technology and Applications Symposium, {RTAS} 2013, Philadelphia, PA, USA, April 9-11, 2013}, pages = {175--184}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/RTAS.2013.6531090}, doi = {10.1109/RTAS.2013.6531090}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtas/DamavandpeymaSBGC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/SheHWC13, author = {Dongrui She and Yifan He and Luc Waeijen and Henk Corporaal}, title = {OpenCL code generation for low energy wide {SIMD} architectures with explicit datapath}, booktitle = {2013 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, {SAMOS} 2013, Agios Konstantinos, Samos Island, Greece, July 15-18, 2013}, pages = {322--329}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SAMOS.2013.6621141}, doi = {10.1109/SAMOS.2013.6621141}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/samos/SheHWC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/WaeijenSCH13, author = {Luc Waeijen and Dongrui She and Henk Corporaal and Yifan He}, title = {{SIMD} made explicit}, booktitle = {2013 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, {SAMOS} 2013, Agios Konstantinos, Samos Island, Greece, July 15-18, 2013}, pages = {330--337}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SAMOS.2013.6621142}, doi = {10.1109/SAMOS.2013.6621142}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/samos/WaeijenSCH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scopes/BraakC13, author = {Gert{-}Jan van den Braak and Henk Corporaal}, editor = {Henk Corporaal and Sander Stuijk}, title = {{GPU-CC:} a reconfigurable {GPU} architecture with communicating cores}, booktitle = {International Workshop on Software and Compilers for Embedded Systems, {M-SCOPES} '13, Sankt Goar, Germany, June 19-21, 2013}, pages = {86--89}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2463596.2486153}, doi = {10.1145/2463596.2486153}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/scopes/BraakC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/scopes/2013, editor = {Henk Corporaal and Sander Stuijk}, title = {International Workshop on Software and Compilers for Embedded Systems, {M-SCOPES} '13, Sankt Goar, Germany, June 19-21, 2013}, publisher = {{ACM}}, year = {2013}, url = {http://dl.acm.org/citation.cfm?id=2463596}, isbn = {978-1-4503-2142-6}, timestamp = {Mon, 29 Sep 2014 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/scopes/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/NugterenC12, author = {Cedric Nugteren and Henk Corporaal}, editor = {David R. Kaeli and John Cavazos and Enqiang Sun}, title = {Introducing 'Bones': a parallelizing source-to-source compiler based on algorithmic skeletons}, booktitle = {The 5th Annual Workshop on General Purpose Processing with Graphics Processing Units, GPGPU-5, London, United Kingdom, March 3, 2012}, pages = {1--10}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2159430.2159431}, doi = {10.1145/2159430.2159431}, timestamp = {Thu, 11 Mar 2021 17:04:51 +0100}, biburl = {https://dblp.org/rec/conf/asplos/NugterenC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/SheHC12, author = {Dongrui She and Yifan He and Henk Corporaal}, editor = {Ahmed Jerraya and Luca P. Carloni and Vincent John Mooney III and Rodric M. Rabbah}, title = {Energy efficient special instruction support in an embedded processor with compact isa}, booktitle = {Proceedings of the 15th International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2012, part of the Eighth Embedded Systems Week, ESWeek 2012, Tampere, Finland, October 7-12, 2012}, pages = {131--140}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2380403.2380430}, doi = {10.1145/2380403.2380430}, timestamp = {Thu, 11 Mar 2021 17:04:51 +0100}, biburl = {https://dblp.org/rec/conf/cases/SheHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/NugterenC12, author = {Cedric Nugteren and Henk Corporaal}, editor = {John Feo and Paolo Faraboschi and Oreste Villa}, title = {The boat hull model: enabling performance prediction for parallel computing prior to code development}, booktitle = {Proceedings of the Computing Frontiers Conference, CF'12, Caligari, Italy - May 15 - 17, 2012}, pages = {203--212}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2212908.2212937}, doi = {10.1145/2212908.2212937}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cf/NugterenC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/SiyoumGMC12, author = {Firew Siyoum and Marc Geilen and Orlando Moreira and Henk Corporaal}, editor = {Ahmed Jerraya and Luca P. Carloni and Naehyuck Chang and Franco Fummi}, title = {Worst-case throughput analysis of real-time dynamic streaming applications}, booktitle = {Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2012, part of ESWeek '12 Eighth Embedded Systems Week, Tampere, Finland, October 7-12, 2012}, pages = {463--472}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2380445.2380517}, doi = {10.1145/2380445.2380517}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/SiyoumGMC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YangGBSC12, author = {Yang Yang and Marc Geilen and Twan Basten and Sander Stuijk and Henk Corporaal}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Playing games with scenario- and resource-aware {SDF} graphs through policy iteration}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {194--199}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176462}, doi = {10.1109/DATE.2012.6176462}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YangGBSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SheHMC12, author = {Dongrui She and Yifan He and Bart Mesman and Henk Corporaal}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Scheduling for register file energy minimization in explicit datapath architectures}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {388--393}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176502}, doi = {10.1109/DATE.2012.6176502}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SheHMC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DamavandpeymaSBGC12, author = {Morteza Damavandpeyma and Sander Stuijk and Twan Basten and Marc Geilen and Henk Corporaal}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Modeling static-order schedules in synchronous dataflow graphs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {775--780}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176588}, doi = {10.1109/DATE.2012.6176588}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DamavandpeymaSBGC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/WangDKC12, author = {Sheng Hao Wang and Anup Das and Akash Kumar and Henk Corporaal}, title = {Minimizing Power Consumption of Spatial Division Based Networks-on-Chip Using Multi-path and Frequency Reduction}, booktitle = {15th Euromicro Conference on Digital System Design, {DSD} 2012, Cesme, Izmir, Turkey, September 5-8, 2012}, pages = {576--583}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/DSD.2012.85}, doi = {10.1109/DSD.2012.85}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/WangDKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/BraakNMC12, author = {Gert{-}Jan van den Braak and Cedric Nugteren and Bart Mesman and Henk Corporaal}, editor = {Christos Kaklamanis and Theodore S. Papatheodorou and Paul G. Spirakis}, title = {GPU-Vote: {A} Framework for Accelerating Voting Algorithms on {GPU}}, booktitle = {Euro-Par 2012 Parallel Processing - 18th International Conference, Euro-Par 2012, Rhodes Island, Greece, August 27-31, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7484}, pages = {945--956}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-32820-6\_92}, doi = {10.1007/978-3-642-32820-6\_92}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/europar/BraakNMC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DamavandpeymaSGBC12, author = {Morteza Damavandpeyma and Sander Stuijk and Marc Geilen and Twan Basten and Henk Corporaal}, title = {Parametric throughput analysis of scenario-aware dataflow graphs}, booktitle = {30th International {IEEE} Conference on Computer Design, {ICCD} 2012, Montreal, QC, Canada, September 30 - Oct. 3, 2012}, pages = {219--226}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICCD.2012.6378644}, doi = {10.1109/ICCD.2012.6378644}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DamavandpeymaSGBC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppopp/NugterenC12, author = {Cedric Nugteren and Henk Corporaal}, editor = {J. Ramanujam and P. Sadayappan}, title = {The boat hull model: adapting the roofline model to enable performance prediction for parallel computing}, booktitle = {Proceedings of the 17th {ACM} {SIGPLAN} Symposium on Principles and Practice of Parallel Programming, {PPOPP} 2012, New Orleans, LA, USA, February 25-29, 2012}, pages = {291--292}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2145816.2145859}, doi = {10.1145/2145816.2145859}, timestamp = {Sun, 12 Jun 2022 19:46:08 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/NugterenC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/scopes/2012, editor = {Henk Corporaal and Sander Stuijk}, title = {Workshop on Software and Compilers for Embedded Systems, Map2MPSoC/SCOPES 2012, Sankt Goar, Germany, May 15-16, 2012}, publisher = {{ACM}}, year = {2012}, url = {http://dl.acm.org/citation.cfm?id=2236576}, isbn = {978-1-4503-1336-0}, timestamp = {Mon, 29 Sep 2014 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/scopes/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simulation/VoetenFHC11, author = {Jeroen Voeten and Oana Florescu and Jinfeng Huang and Henk Corporaal}, title = {Error computation for predictable real-time software synthesis}, journal = {Simul.}, volume = {87}, number = {4}, pages = {334--350}, year = {2011}, url = {https://doi.org/10.1177/0037549710364204}, doi = {10.1177/0037549710364204}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/simulation/VoetenFHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/PuHYLAKC11, author = {Yu Pu and Yifan He and Zhenyu Ye and Sebastian M. Londono and Anteneh A. Abbo and Richard P. Kleihorst and Henk Corporaal}, title = {From Xetal-II to Xetal-Pro: On the Road Toward an Ultralow-Energy and High-Throughput {SIMD} Processor}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {21}, number = {4}, pages = {472--484}, year = {2011}, url = {https://doi.org/10.1109/TCSVT.2011.2125590}, doi = {10.1109/TCSVT.2011.2125590}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/PuHYLAKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/Ykman-CouvreurNCC11, author = {Chantal Ykman{-}Couvreur and Vincent Nollet and Francky Catthoor and Henk Corporaal}, title = {Fast multidimension multichoice knapsack heuristic for MP-SoC runtime management}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {10}, number = {3}, pages = {35:1--35:16}, year = {2011}, url = {https://doi.org/10.1145/1952522.1952528}, doi = {10.1145/1952522.1952528}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/Ykman-CouvreurNCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acivs/PeemenMC11, author = {Maurice Peemen and Bart Mesman and Henk Corporaal}, editor = {Jacques Blanc{-}Talon and Richard P. Kleihorst and Wilfried Philips and Dan C. Popescu and Paul Scheunders}, title = {Efficiency Optimization of Trainable Feature Extractors for a Consumer Platform}, booktitle = {Advances Concepts for Intelligent Vision Systems - 13th International Conference, {ACIVS} 2011, Ghent, Belgium, August 22-25, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6915}, pages = {293--304}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23687-7\_27}, doi = {10.1007/978-3-642-23687-7\_27}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/acivs/PeemenMC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acivs/BraakNMC11, author = {Gert{-}Jan van den Braak and Cedric Nugteren and Bart Mesman and Henk Corporaal}, editor = {Jacques Blanc{-}Talon and Richard P. Kleihorst and Wilfried Philips and Dan C. Popescu and Paul Scheunders}, title = {Fast Hough Transform on GPUs: Exploration of Algorithm Trade-Offs}, booktitle = {Advances Concepts for Intelligent Vision Systems - 13th International Conference, {ACIVS} 2011, Ghent, Belgium, August 22-25, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6915}, pages = {611--622}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23687-7\_55}, doi = {10.1007/978-3-642-23687-7\_55}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acivs/BraakNMC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acivs/HeYSMC11, author = {Yifan He and Zhenyu Ye and Dongrui She and Bart Mesman and Henk Corporaal}, editor = {Jacques Blanc{-}Talon and Richard P. Kleihorst and Wilfried Philips and Dan C. Popescu and Paul Scheunders}, title = {Feasibility Analysis of Ultra High Frame Rate Visual Servoing on {FPGA} and {SIMD} Processor}, booktitle = {Advances Concepts for Intelligent Vision Systems - 13th International Conference, {ACIVS} 2011, Ghent, Belgium, August 22-25, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6915}, pages = {623--634}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23687-7\_56}, doi = {10.1007/978-3-642-23687-7\_56}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acivs/HeYSMC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/NugterenBCM11, author = {Cedric Nugteren and Gert{-}Jan van den Braak and Henk Corporaal and Bart Mesman}, title = {High performance predictable histogramming on GPUs: exploring and evaluating algorithm trade-offs}, booktitle = {Proceedings of 4th Workshop on General Purpose Processing on Graphics Processing Units, {GPGPU} 2011, Newport Beach, CA, USA, March 5, 2011}, pages = {1}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1964179.1964181}, doi = {10.1145/1964179.1964181}, timestamp = {Wed, 07 Jul 2021 13:23:08 +0200}, biburl = {https://dblp.org/rec/conf/asplos/NugterenBCM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/ShabbirSKCM11, author = {Ahsan Shabbir and Sander Stuijk and Akash Kumar and Henk Corporaal and Bart Mesman}, editor = {Calin Cascaval and Pedro Trancoso and Viktor K. Prasanna}, title = {An MPSoC design approach for multiple use-cases of throughput constrainted applications}, booktitle = {Proceedings of the 8th Conference on Computing Frontiers, 2011, Ischia, Italy, May 3-5, 2011}, pages = {20}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2016604.2016628}, doi = {10.1145/2016604.2016628}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cf/ShabbirSKCM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JordansSSKC11, author = {Roel Jordans and Firew Siyoum and Sander Stuijk and Akash Kumar and Henk Corporaal}, editor = {Philipp Lucas and Lothar Thiele and Benoit Triquet and Theo Ungerer and Reinhard Wilhelm}, title = {An Automated Flow to Map Throughput Constrained Applications to a MPSoC}, booktitle = {Bringing Theory to Practice: Predictability and Performance in Embedded Systems, {DATE} Workshop {PPES} 2011, March 18, 2011, Grenoble, France}, series = {OASIcs}, volume = {18}, pages = {47--58}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum fuer Informatik, Germany}, year = {2011}, url = {https://doi.org/10.4230/OASIcs.PPES.2011.47}, doi = {10.4230/OASICS.PPES.2011.47}, timestamp = {Wed, 02 Aug 2023 16:29:12 +0200}, biburl = {https://dblp.org/rec/conf/date/JordansSSKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GeunsBBC11, author = {Stefan J. Geuns and Marco Jan Gerrit Bekooij and Tjerk Bijlsma and Henk Corporaal}, title = {Parallelization of while loops in nested loop programs for shared-memory multiprocessor systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {697--702}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763118}, doi = {10.1109/DATE.2011.5763118}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GeunsBBC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/QiaoCL11, author = {Peng Qiao and Henk Corporaal and Menno Lindwer}, title = {A 0.964mW digital hearing aid system}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {883--886}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763297}, doi = {10.1109/DATE.2011.5763297}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/QiaoCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HausmansBC11, author = {Joost P. H. M. Hausmans and Marco Jan Gerrit Bekooij and Henk Corporaal}, title = {Resynchronization of Cyclo-Static Dataflow graphs}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1315--1320}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763211}, doi = {10.1109/DATE.2011.5763211}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HausmansBC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/YangGBSC11, author = {Yang Yang and Marc Geilen and Twan Basten and Sander Stuijk and Henk Corporaal}, title = {Iteration-Based Trade-Off Analysis of Resource-Aware {SDF}}, booktitle = {14th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, {DSD} 2011, August 31 - September 2, 2011, Oulu, Finland}, pages = {567--574}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/DSD.2011.78}, doi = {10.1109/DSD.2011.78}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/YangGBSC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/DamavandpeymaSBGC11, author = {Morteza Damavandpeyma and Sander Stuijk and Twan Basten and Marc Geilen and Henk Corporaal}, title = {Hybrid Code-Data Prefetch-Aware Multiprocessor Task Graph Scheduling}, booktitle = {14th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, {DSD} 2011, August 31 - September 2, 2011, Oulu, Finland}, pages = {583--590}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/DSD.2011.80}, doi = {10.1109/DSD.2011.80}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/DamavandpeymaSBGC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/KoedamSC11, author = {Martijn Koedam and Sander Stuijk and Henk Corporaal}, title = {Exploiting Inter and Intra Application Dynamism to Save Energy}, booktitle = {14th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, {DSD} 2011, August 31 - September 2, 2011, Oulu, Finland}, pages = {708--715}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/DSD.2011.95}, doi = {10.1109/DSD.2011.95}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/KoedamSC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdsc/YeCJ11, author = {Zhenyu Ye and Henk Corporaal and Pieter Jonker}, editor = {Richard P. Kleihorst and Andrea Prati and Senem Velipasalar}, title = {PhD forum: {A} cyber-physical system approach to embedded visual servoing}, booktitle = {2011 Fifth {ACM/IEEE} International Conference on Distributed Smart Cameras, Ghent, Belgium, Aug. 22-25, 2011}, pages = {1--2}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICDSC.2011.6042950}, doi = {10.1109/ICDSC.2011.6042950}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/icdsc/YeCJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdsc/YeHPMCJ11, author = {Zhenyu Ye and Yifan He and Roel Pieters and Bart Mesman and Henk Corporaal and Pieter Jonker}, editor = {Richard P. Kleihorst and Andrea Prati and Senem Velipasalar}, title = {Demo: An embedded vision system for high frame rate visual servoing}, booktitle = {2011 Fifth {ACM/IEEE} International Conference on Distributed Smart Cameras, Ghent, Belgium, Aug. 22-25, 2011}, pages = {1--2}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICDSC.2011.6042946}, doi = {10.1109/ICDSC.2011.6042946}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdsc/YeHPMCJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/JongeriusSC11, author = {Rik Jongerius and Phillip Stanley{-}Marbell and Henk Corporaal}, title = {Quantifying the common computational problems in contemporary applications}, booktitle = {Proceedings of the 2011 {IEEE} International Symposium on Workload Characterization, {IISWC} 2011, Austin, TX, USA, November 6-8, 2011}, pages = {74}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IISWC.2011.6114199}, doi = {10.1109/IISWC.2011.6114199}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/JongeriusSC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/SiyoumGMNC11, author = {Firew Siyoum and Marc Geilen and Orlando Moreira and Rick J. M. Nas and Henk Corporaal}, title = {Analyzing synchronous dataflow scenarios for dynamic software-defined radio applications}, booktitle = {2011 International Symposium on System on Chip, SoC 2011, Tampere, Finland, October 31 - November 2, 2011}, pages = {14--21}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSOC.2011.6089222}, doi = {10.1109/ISSOC.2011.6089222}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/issoc/SiyoumGMNC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mva/YeHPMCJ11, author = {Zhenyu Ye and Yifan He and Roel Pieters and Bart Mesman and Henk Corporaal and Pieter Jonker}, title = {Bottlenecks and Tradeoffs in High Frame Rate Visual Servoing: {A} Case Study}, booktitle = {Proceedings of the {IAPR} Conference on Machine Vision Applications {(IAPR} {MVA} 2011), Nara Centennial Hall, Nara, Japan, June 13-15, 2011}, pages = {55--58}, year = {2011}, url = {http://www.mva-org.jp/Proceedings/2011CD/papers/04-05.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mva/YeHPMCJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/SiyoumASGC11, author = {Firew Siyoum and Benny Akesson and Sander Stuijk and Kees Goossens and Henk Corporaal}, title = {Resource-Efficient Real-Time Scheduling Using Credit-Controlled Static-Priority Arbitration}, booktitle = {17th {IEEE} International Conference on Embedded and Real-Time Computing Systems and Applications, {RTCSA} 2011, Toyama, Japan, August 28-31, 2011, Volume 1}, pages = {309--318}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/RTCSA.2011.54}, doi = {10.1109/RTCSA.2011.54}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/SiyoumASGC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/NugterenCM11, author = {Cedric Nugteren and Henk Corporaal and Bart Mesman}, editor = {Luigi Carro and Andy D. Pimentel}, title = {Skeleton-based automatic parallelization of image processing algorithms for GPUs}, booktitle = {2011 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, {SAMOS} XI, Samos, Greece, July 18-21, 2011}, pages = {25--32}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SAMOS.2011.6045441}, doi = {10.1109/SAMOS.2011.6045441}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/samos/NugterenCM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/ShabbirKMC11, author = {Ahsan Shabbir and Akash Kumar and Bart Mesman and Henk Corporaal}, editor = {Luigi Carro and Andy D. Pimentel}, title = {Distributed resource management for concurrent execution of multimedia applications on MPSoC platforms}, booktitle = {2011 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, {SAMOS} XI, Samos, Greece, July 18-21, 2011}, pages = {132--139}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SAMOS.2011.6045454}, doi = {10.1109/SAMOS.2011.6045454}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/samos/ShabbirKMC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/HeSMC11, author = {Yifan He and Dongrui She and Bart Mesman and Henk Corporaal}, editor = {Luigi Carro and Andy D. Pimentel}, title = {MOVE-Pro: {A} low power and high code density {TTA} architecture}, booktitle = {2011 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, {SAMOS} XI, Samos, Greece, July 18-21, 2011}, pages = {294--301}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SAMOS.2011.6045474}, doi = {10.1109/SAMOS.2011.6045474}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/samos/HeSMC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/scopes/2011, editor = {Henk Corporaal and Sander Stuijk}, title = {14th International Workshop on Software and Compilers for Embedded Systems, {SCOPES} '11, St. Goar, Germany, June 27-28, 2011}, publisher = {{ACM}}, year = {2011}, url = {http://dl.acm.org/citation.cfm?id=1988932}, isbn = {978-1-4503-0763-5}, timestamp = {Thu, 10 May 2012 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/scopes/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/ShabbirKSMC10, author = {Ahsan Shabbir and Akash Kumar and Sander Stuijk and Bart Mesman and Henk Corporaal}, title = {CA-MPSoC: An automated design flow for predictable multi-processor architectures for multiple applications}, journal = {J. Syst. Archit.}, volume = {56}, number = {7}, pages = {265--277}, year = {2010}, url = {https://doi.org/10.1016/j.sysarc.2010.03.007}, doi = {10.1016/J.SYSARC.2010.03.007}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/ShabbirKSMC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/PuGCH10, author = {Yu Pu and Jos{\'{e}} de Jesus Pineda de Gyvez and Henk Corporaal and Yajun Ha}, title = {An Ultra-Low-Energy Multi-Standard {JPEG} Co-Processor in 65 nm {CMOS} With Sub/Near Threshold Supply Voltage}, journal = {{IEEE} J. Solid State Circuits}, volume = {45}, number = {3}, pages = {668--680}, year = {2010}, url = {https://doi.org/10.1109/JSSC.2009.2039684}, doi = {10.1109/JSSC.2009.2039684}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/PuGCH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KumarMCH10, author = {Akash Kumar and Bart Mesman and Henk Corporaal and Yajun Ha}, title = {Iterative Probabilistic Performance Prediction for Multi-Application Multiprocessor Systems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {29}, number = {4}, pages = {538--551}, year = {2010}, url = {https://doi.org/10.1109/TCAD.2010.2042887}, doi = {10.1109/TCAD.2010.2042887}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KumarMCH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/NolletVC10, author = {Vincent Nollet and Diederik Verkest and Henk Corporaal}, title = {A Safari Through the MPSoC Run-Time Management Jungle}, journal = {J. Signal Process. Syst.}, volume = {60}, number = {2}, pages = {251--268}, year = {2010}, url = {https://doi.org/10.1007/s11265-008-0305-4}, doi = {10.1007/S11265-008-0305-4}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/NolletVC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/ShabbirSKTMC10, author = {Ahsan Shabbir and Sander Stuijk and Akash Kumar and Bart D. Theelen and Bart Mesman and Henk Corporaal}, editor = {Nancy M. Amato and Hubertus Franke and Paul H. J. Kelly}, title = {A predictable communication assist}, booktitle = {Proceedings of the 7th Conference on Computing Frontiers, 2010, Bertinoro, Italy, May 17-19, 2010}, pages = {97--98}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1787275.1787301}, doi = {10.1145/1787275.1787301}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cf/ShabbirSKTMC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HePKYALC10, author = {Yifan He and Yu Pu and Richard P. Kleihorst and Zhenyu Ye and Anteneh A. Abbo and Sebastian M. Londono and Henk Corporaal}, editor = {Sachin S. Sapatnekar}, title = {Xetal-Pro: an ultra-low energy and high throughput {SIMD} processor}, booktitle = {Proceedings of the 47th Design Automation Conference, {DAC} 2010, Anaheim, California, USA, July 13-18, 2010}, pages = {543--548}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1837274.1837409}, doi = {10.1145/1837274.1837409}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HePKYALC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YangGBSC10, author = {Yang Yang and Marc Geilen and Twan Basten and Sander Stuijk and Henk Corporaal}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {Automated bottleneck-driven design-space exploration of media processing systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {1041--1046}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5456963}, doi = {10.1109/DATE.2010.5456963}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YangGBSC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/NelsonHCG10, author = {Andrew Nelson and Andreas Hansson and Henk Corporaal and Kees Goossens}, title = {Conservative application-level performance analysis through simulation of MPSoCs}, booktitle = {8th {IEEE} Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2010, 28-29 October 2010, Scottsdale, AZ, {USA}}, pages = {51--60}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ESTMED.2010.5666984}, doi = {10.1109/ESTMED.2010.5666984}, timestamp = {Thu, 04 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/NelsonHCG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DamavandpeymaSBGC10, author = {Morteza Damavandpeyma and Sander Stuijk and Twan Basten and Marc Geilen and Henk Corporaal}, title = {Thermal-aware scratchpad memory design and allocation}, booktitle = {28th International Conference on Computer Design, {ICCD} 2010, 3-6 October 2010, Amsterdam, The Netherlands, Proceedings}, pages = {118--124}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICCD.2010.5647616}, doi = {10.1109/ICCD.2010.5647616}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DamavandpeymaSBGC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/DrijversPCMB10, author = {Tim Drijvers and Carlos A. Alba Pinto and Henk Corporaal and Bart Mesman and Gert{-}Jan van den Braak}, editor = {Fadi J. Kurdahi and Jarmo Takala}, title = {Fast Huffman decoding by exploiting data level parallelism}, booktitle = {Proceedings of the 2010 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation {(IC-SAMOS} 2010), Samos, Greece, July 19-22, 2010}, pages = {86--92}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICSAMOS.2010.5642080}, doi = {10.1109/ICSAMOS.2010.5642080}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/samos/DrijversPCMB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/BraakMC10, author = {Gert{-}Jan van den Braak and Bart Mesman and Henk Corporaal}, editor = {Fadi J. Kurdahi and Jarmo Takala}, title = {Compile-time {GPU} memory access optimizations}, booktitle = {Proceedings of the 2010 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation {(IC-SAMOS} 2010), Samos, Greece, July 19-22, 2010}, pages = {200--207}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICSAMOS.2010.5642066}, doi = {10.1109/ICSAMOS.2010.5642066}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/samos/BraakMC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijes/PalkovicCC09, author = {Martin Palkovic and Henk Corporaal and Francky Catthoor}, title = {Dealing with data dependent conditions to enable general global source code transformations}, journal = {Int. J. Embed. Syst.}, volume = {4}, number = {1}, pages = {27--39}, year = {2009}, url = {https://doi.org/10.1504/IJES.2009.027238}, doi = {10.1504/IJES.2009.027238}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijes/PalkovicCC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/HeikkinenTC09, author = {Jari Heikkinen and Jarmo Takala and Henk Corporaal}, title = {Dictionary-based program compression on customizable processor architectures}, journal = {Microprocess. Microsystems}, volume = {33}, number = {2}, pages = {139--153}, year = {2009}, url = {https://doi.org/10.1016/j.micpro.2008.10.001}, doi = {10.1016/J.MICPRO.2008.10.001}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/HeikkinenTC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pe/HoesBTGC09, author = {Rob Hoes and Twan Basten and Chen{-}Khong Tham and Marc Geilen and Henk Corporaal}, title = {Quality-of-service trade-off analysis for wireless sensor networks}, journal = {Perform. Evaluation}, volume = {66}, number = {3-5}, pages = {191--208}, year = {2009}, url = {https://doi.org/10.1016/j.peva.2008.10.007}, doi = {10.1016/J.PEVA.2008.10.007}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pe/HoesBTGC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qre/KocaFKRACMPWB09, author = {Aylin Koca and Mathias Funk and Evangelos Karapanos and Anne Rozinat and Wil M. P. van der Aalst and Henk Corporaal and Jean{-}Bernard Martens and Piet van der Putten and A. J. M. M. Weijters and Aarnout Brombacher}, title = {Soft reliability: an interdisciplinary approach with a user-system focus}, journal = {Qual. Reliab. Eng. Int.}, volume = {25}, number = {1}, pages = {3--20}, year = {2009}, url = {https://doi.org/10.1002/qre.937}, doi = {10.1002/QRE.937}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/qre/KocaFKRACMPWB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simulation/FlorescuVTC09, author = {Oana Florescu and Jeroen Voeten and Bart D. Theelen and Henk Corporaal}, title = {Patterns for Automatic Generation of Soft Real-time System Models}, journal = {Simul.}, volume = {85}, number = {11-12}, pages = {709--734}, year = {2009}, url = {https://doi.org/10.1177/0037549709102850}, doi = {10.1177/0037549709102850}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/simulation/FlorescuVTC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/GheorghitaPHVMBECCVB09, author = {Stefan Valentin Gheorghita and Martin Palkovic and Juan Hamers and Arnout Vandecappelle and Stelios Mamagkakis and Twan Basten and Lieven Eeckhout and Henk Corporaal and Francky Catthoor and Frederik Vandeputte and Koen De Bosschere}, title = {System-scenario-based design of dynamic embedded systems}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {14}, number = {1}, pages = {3:1--3:45}, year = {2009}, url = {https://doi.org/10.1145/1455229.1455232}, doi = {10.1145/1455229.1455232}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/GheorghitaPHVMBECCVB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/PalkovicCC09, author = {Martin Palkovic and Francky Catthoor and Henk Corporaal}, title = {Trade-offs in loop transformations}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {14}, number = {2}, pages = {22:1--22:30}, year = {2009}, url = {https://doi.org/10.1145/1497561.1497565}, doi = {10.1145/1497561.1497565}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/PalkovicCC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/FunkPC09, author = {Mathias Funk and Piet van der Putten and Henk Corporaal}, editor = {Dan R. Olsen Jr. and Richard B. Arthur and Ken Hinckley and Meredith Ringel Morris and Scott E. Hudson and Saul Greenberg}, title = {Analytics for the internet of things}, booktitle = {Proceedings of the 27th International Conference on Human Factors in Computing Systems, {CHI} 2009, Extended Abstracts Volume, Boston, MA, USA, April 4-9, 2009}, pages = {4195--4200}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1520340.1520639}, doi = {10.1145/1520340.1520639}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/chi/FunkPC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/OpheldersBC09, author = {Frank E. B. Ophelders and Marco Bekooij and Henk Corporaal}, editor = {Wolfgang Rosenstiel and Kazutoshi Wakabayashi}, title = {A tuneable software cache coherence protocol for heterogeneous MPSoCs}, booktitle = {Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2009, Grenoble, France, October 11-16, 2009}, pages = {383--392}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629435.1629488}, doi = {10.1145/1629435.1629488}, timestamp = {Mon, 26 Nov 2018 12:14:45 +0100}, biburl = {https://dblp.org/rec/conf/codes/OpheldersBC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MollCRB09, author = {H. W. M. van Moll and Henk Corporaal and V{\'{\i}}ctor Reyes and Marleen Boonen}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {Fast and accurate protocol specific bus modeling using {TLM} 2.0}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {316--319}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090680}, doi = {10.1109/DATE.2009.5090680}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/MollCRB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/YangGBSC09, author = {Yang Yang and Marc Geilen and Twan Basten and Sander Stuijk and Henk Corporaal}, editor = {Andy D. Pimentel and Naehyuck Chang}, title = {Exploring trade-offs between performance and resource requirements for synchronous dataflow graphs}, booktitle = {Proceedings of the 7th {IEEE/ACM/IFIP} Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2009, Grenoble, France, 15-16 October 2009}, pages = {96--105}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ESTMED.2009.5336821}, doi = {10.1109/ESTMED.2009.5336821}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/YangGBSC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ewsn/HoesBYTGC09, author = {Rob Hoes and Twan Basten and Wai{-}Leong Yeow and Chen{-}Khong Tham and Marc Geilen and Henk Corporaal}, editor = {Utz Roedig and Cormac J. Sreenan}, title = {QoS Management for Wireless Sensor Networks with a Mobile Sink}, booktitle = {Wireless Sensor Networks, 6th European Conference, {EWSN} 2009, Cork, Ireland, February 11-13, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5432}, pages = {53--68}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-00224-3\_4}, doi = {10.1007/978-3-642-00224-3\_4}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ewsn/HoesBYTGC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PuGCH09, author = {Yu Pu and Jos{\'{e}} de Jesus Pineda de Gyvez and Henk Corporaal and Yajun Ha}, title = {An ultra-low-energy/frame multi-standard {JPEG} co-processor in 65nm {CMOS} with sub/near-threshold power supply}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {146--147}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977350}, doi = {10.1109/ISSCC.2009.4977350}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/PuGCH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ista/FunkRMPCA09, author = {Mathias Funk and Anne Rozinat and Ana Karla Alves de Medeiros and Piet van der Putten and Henk Corporaal and Wil M. P. van der Aalst}, editor = {Jianhua Yang and Athula Ginige and Heinrich C. Mayr and Ralf{-}Detlef Kutsche}, title = {Improving Product Usage Monitoring and Analysis with Semantic Concepts}, booktitle = {Information Systems: Modeling, Development, and Integration, Third International United Information Systems Conference, {UNISCON} 2009, Sydney, Australia, April 21-24, 2009. Proceedings}, series = {Lecture Notes in Business Information Processing}, volume = {20}, pages = {190--201}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-01112-2\_20}, doi = {10.1007/978-3-642-01112-2\_20}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ista/FunkRMPCA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/ShabbirKMC09, author = {Ahsan Shabbir and Akash Kumar and Bart Mesman and Henk Corporaal}, editor = {Walid A. Najjar and Michael J. Schulte}, title = {Performance evaluation of concurrently executing parallel applications on multi-processor systems}, booktitle = {Proceedings of the 2009 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation {(IC-SAMOS} 2009), Samos, Greece, July 20-23, 2009}, pages = {100--107}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICSAMOS.2009.5289220}, doi = {10.1109/ICSAMOS.2009.5289220}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/samos/ShabbirKMC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GheorghitaBC08, author = {Stefan Valentin Gheorghita and Twan Basten and Henk Corporaal}, title = {Application Scenarios in Streaming-Oriented Embedded-System Design}, journal = {{IEEE} Des. Test Comput.}, volume = {25}, number = {6}, pages = {581--589}, year = {2008}, url = {https://doi.org/10.1109/MDT.2008.158}, doi = {10.1109/MDT.2008.158}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/GheorghitaBC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/KumarMTCH08, author = {Akash Kumar and Bart Mesman and Bart D. Theelen and Henk Corporaal and Yajun Ha}, title = {Analyzing composability of applications on MPSoC platforms}, journal = {J. Syst. Archit.}, volume = {54}, number = {3-4}, pages = {369--383}, year = {2008}, url = {https://doi.org/10.1016/j.sysarc.2007.10.002}, doi = {10.1016/J.SYSARC.2007.10.002}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/KumarMTCH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/KumarFHMC08, author = {Akash Kumar and Shakith Fernando and Yajun Ha and Bart Mesman and Henk Corporaal}, title = {Multiprocessor systems synthesis for multiple use-cases of multiple applications on {FPGA}}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {13}, number = {3}, pages = {40:1--40:27}, year = {2008}, url = {https://doi.org/10.1145/1367045.1367049}, doi = {10.1145/1367045.1367049}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/KumarFHMC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/NolletAEVC08, author = {Vincent Nollet and Prabhat Avasare and Hendrik Eeckhaut and Diederik Verkest and Henk Corporaal}, title = {Run-Time Management of a MPSoC Containing {FPGA} Fabric Tiles}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {16}, number = {1}, pages = {24--33}, year = {2008}, url = {https://doi.org/10.1109/TVLSI.2007.912097}, doi = {10.1109/TVLSI.2007.912097}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/NolletAEVC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/GheorghitaBC08, author = {Stefan Valentin Gheorghita and Twan Basten and Henk Corporaal}, title = {Scenario Selection and Prediction for DVS-Aware Scheduling of Multimedia Applications}, journal = {J. Signal Process. Syst.}, volume = {50}, number = {2}, pages = {137--161}, year = {2008}, url = {https://doi.org/10.1007/s11265-007-0086-1}, doi = {10.1007/S11265-007-0086-1}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/GheorghitaBC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/achi/FunkPC08, author = {Mathias Funk and Piet van der Putten and Henk Corporaal}, title = {Specification for User Modeling with Self-Observing Systems}, booktitle = {First International Conference on Advances in Computer-Human Interaction, {ACHI} 2008, February 10-15, 2008, Sainte Luce, Martinique, France}, pages = {243--248}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ACHI.2008.15}, doi = {10.1109/ACHI.2008.15}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/achi/FunkPC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acivs/KochZKC08, author = {Michael Koch and Zoran Zivkovic and Richard P. Kleihorst and Henk Corporaal}, editor = {Jacques Blanc{-}Talon and Salah Bourennane and Wilfried Philips and Dan C. Popescu and Paul Scheunders}, title = {Distributed Smart Camera Calibration Using Blinking {LED}}, booktitle = {Advanced Concepts for Intelligent Vision Systems, 10th International Conference, {ACIVS} 2008, Juan-les-Pins, France, October 20-24, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5259}, pages = {242--253}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-88458-3\_22}, doi = {10.1007/978-3-540-88458-3\_22}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/acivs/KochZKC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acivs/HeZKDCM08, author = {Yifan He and Zoran Zivkovic and Richard P. Kleihorst and Alexander Danilin and Henk Corporaal and Bart Mesman}, editor = {Jacques Blanc{-}Talon and Salah Bourennane and Wilfried Philips and Dan C. Popescu and Paul Scheunders}, title = {Real-Time Hough Transform on 1-D {SIMD} Processors: Implementation and Architecture Exploration}, booktitle = {Advanced Concepts for Intelligent Vision Systems, 10th International Conference, {ACIVS} 2008, Juan-les-Pins, France, October 20-24, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5259}, pages = {254--265}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-88458-3\_23}, doi = {10.1007/978-3-540-88458-3\_23}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acivs/HeZKDCM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/PuGCH08, author = {Yu Pu and Jos{\'{e}} de Jesus Pineda de Gyvez and Henk Corporaal and Yajun Ha}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Statistical noise margin estimation for sub-threshold combinational circuits}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {176--179}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483935}, doi = {10.1109/ASPDAC.2008.4483935}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/PuGCH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/OpheldersCC08, author = {Frank E. B. Ophelders and Samarjit Chakraborty and Henk Corporaal}, editor = {Catherine H. Gebotys and Grant Martin}, title = {Intra- and inter-processor hybrid performance modeling for MPSoC architectures}, booktitle = {Proceedings of the 6th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2008, Atlanta, GA, USA, October 19-24, 2008}, pages = {91--96}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1450135.1450156}, doi = {10.1145/1450135.1450156}, timestamp = {Mon, 26 Nov 2018 12:14:45 +0100}, biburl = {https://dblp.org/rec/conf/codes/OpheldersCC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/FunkPC08, author = {Mathias Funk and Piet van der Putten and Henk Corporaal}, title = {{UML} Profile for Modeling Product Observation}, booktitle = {Forum on specification and Design Languages, {FDL} 2008, September 23-25, 2008, Stuttgart, Germany, Proceedings}, pages = {185--190}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FDL.2008.4641443}, doi = {10.1109/FDL.2008.4641443}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fdl/FunkPC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdsc/AbboJOSBGC08, author = {Anteneh A. Abbo and Vincent Jeanne and Martin Ouwerkerk and Caifeng Shan and Ralph Braspenning and Abhiram Ganesh and Henk Corporaal}, title = {Mapping facial expression recognition algorithms on a low-power smart camera}, booktitle = {2008 Second {ACM/IEEE} International Conference on Distributed Smart Cameras, Stanford, CA, USA, September 7-11, 2008}, pages = {1--7}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ICDSC.2008.4635726}, doi = {10.1109/ICDSC.2008.4635726}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdsc/AbboJOSBGC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdsc/HeZKDC08, author = {Yifan He and Zoran Zivkovic and Richard P. Kleihorst and Alexander Danilin and Henk Corporaal}, title = {Real-time implementations of Hough Transform on {SIMD} architecture}, booktitle = {2008 Second {ACM/IEEE} International Conference on Distributed Smart Cameras, Stanford, CA, USA, September 7-11, 2008}, pages = {1--8}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ICDSC.2008.4635716}, doi = {10.1109/ICDSC.2008.4635716}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdsc/HeZKDC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imtic/ShabbirKMC08, author = {Ahsan Shabbir and Akash Kumar and Bart Mesman and Henk Corporaal}, editor = {Dil Muhammad Akbar Hussain and Abdul Qadeer Khan Rajput and Bhawani Shankar Chowdhry and Quintin Gee}, title = {Enabling MPSoC Design Space Exploration on FPGAs}, booktitle = {Wireless Networks, Information Processing and Systems, International Multi Topic Conference, {IMTIC} 2008, Jamshoro, Pakistan, April 11-12, 2008, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {20}, pages = {412--421}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-89853-5\_44}, doi = {10.1007/978-3-540-89853-5\_44}, timestamp = {Fri, 19 May 2017 01:26:03 +0200}, biburl = {https://dblp.org/rec/conf/imtic/ShabbirKMC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/FrijnsFMC08, author = {Raymond Frijns and Hamed Fatemi and Bart Mesman and Henk Corporaal}, title = {{DC-SIMD} : Dynamic communication for {SIMD} processors}, booktitle = {22nd {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2008, Miami, Florida USA, April 14-18, 2008}, pages = {1--10}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/IPDPS.2008.4536274}, doi = {10.1109/IPDPS.2008.4536274}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/FrijnsFMC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seke/FunkPC08, author = {Mathias Funk and Piet van der Putten and Henk Corporaal}, title = {Model Interpretation for Executable Observation Specifications}, booktitle = {Proceedings of the Twentieth International Conference on Software Engineering {\&} Knowledge Engineering (SEKE'2008), San Francisco, CA, USA, July 1-3, 2008}, pages = {785--790}, publisher = {Knowledge Systems Institute Graduate School}, year = {2008}, timestamp = {Thu, 12 Mar 2020 11:30:50 +0100}, biburl = {https://dblp.org/rec/conf/seke/FunkPC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasp/DenolfBCVC07, author = {Kristof Denolf and Marco Jan Gerrit Bekooij and Johan Cockx and Diederik Verkest and Henk Corporaal}, title = {Exploiting the Expressiveness of Cyclo-Static Dataflow to Model Multimedia Implementations}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2007}, year = {2007}, url = {https://doi.org/10.1155/2007/84078}, doi = {10.1155/2007/84078}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejasp/DenolfBCVC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejes/DenolfCSTVVC07, author = {Kristof Denolf and Adrian Chirila{-}Rus and Paul R. Schumacher and Robert D. Turney and Kees A. Vissers and Diederik Verkest and Henk Corporaal}, title = {A Systematic Approach to Design Low-Power Video Codec Cores}, journal = {{EURASIP} J. Embed. Syst.}, volume = {2007}, year = {2007}, url = {https://doi.org/10.1155/2007/64569}, doi = {10.1155/2007/64569}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejes/DenolfCSTVVC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cdt/Ykman-CouvreurNMBCC07, author = {Chantal Ykman{-}Couvreur and Vincent Nollet and Th{\'{e}}odore Marescaux and Erik Brockmeyer and Francky Catthoor and Henk Corporaal}, title = {Design-time application mapping and platform exploration for MP-SoC customised run-time management}, journal = {{IET} Comput. Digit. Tech.}, volume = {1}, number = {2}, pages = {120--128}, year = {2007}, url = {https://doi.org/10.1049/iet-cdt:20060031}, doi = {10.1049/IET-CDT:20060031}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cdt/Ykman-CouvreurNMBCC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rts/HuangVC07, author = {Jinfeng Huang and Jeroen Voeten and Henk Corporaal}, title = {Predictable real-time software synthesis}, journal = {Real Time Syst.}, volume = {36}, number = {3}, pages = {159--198}, year = {2007}, url = {https://doi.org/10.1007/s11241-007-9013-6}, doi = {10.1007/S11241-007-9013-6}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/rts/HuangVC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/TerechkoC07, author = {Andrei Sergeevich Terechko and Henk Corporaal}, title = {Inter-cluster communication in {VLIW} architectures}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {4}, number = {2}, pages = {11}, year = {2007}, url = {https://doi.org/10.1145/1250727.1250731}, doi = {10.1145/1250727.1250731}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/TerechkoC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acsd/HuangVGBC07, author = {Jinfeng Huang and Jeroen Voeten and Marcel A. Groothuis and Jan F. Broenink and Henk Corporaal}, editor = {Twan Basten and Gabriel Juh{\'{a}}s and Sandeep K. Shukla}, title = {A model-driven design approach for mechatronic systems}, booktitle = {Seventh International Conference on Application of Concurrency to System Design {(ACSD} 2007), 10-13 July 2007, Bratislava, Slovak Republic}, pages = {127--136}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ACSD.2007.40}, doi = {10.1109/ACSD.2007.40}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acsd/HuangVGBC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MarescauxC07, author = {Th{\'{e}}odore Marescaux and Henk Corporaal}, title = {Introducing the SuperGT Network-on-Chip; SuperGT QoS: more than just {GT}}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {116--121}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1145/1278480.1278510}, doi = {10.1145/1278480.1278510}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MarescauxC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KumarMCTH07, author = {Akash Kumar and Bart Mesman and Henk Corporaal and Bart D. Theelen and Yajun Ha}, title = {A Probabilistic Approach to Model Resource Contention for Performance Estimation of Multi-featured Media Devices}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {726--731}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1145/1278480.1278662}, doi = {10.1145/1278480.1278662}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KumarMCTH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/StuijkBGC07, author = {Sander Stuijk and Twan Basten and Marc Geilen and Henk Corporaal}, title = {Multiprocessor Resource Allocation for Throughput-Constrained Synchronous Dataflow Graphs}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {777--782}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1145/1278480.1278674}, doi = {10.1145/1278480.1278674}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/StuijkBGC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KumarHHC07, author = {Akash Kumar and Andreas Hansson and Jos Huisken and Henk Corporaal}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Interactive presentation: An {FPGA} design flow for reconfigurable network-based multi-processor systems on chip}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {117--122}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364577}, doi = {10.1109/DATE.2007.364577}, timestamp = {Thu, 04 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KumarHHC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RaghavanLJCVC07, author = {Praveen Raghavan and Andy Lambrechts and Murali Jayapala and Francky Catthoor and Diederik Verkest and Henk Corporaal}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Very wide register: an asymmetric register file organization for low power embedded processors}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1066--1071}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364435}, doi = {10.1109/DATE.2007.364435}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RaghavanLJCVC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/NolletV07, author = {Vincent Nollet and Diederik Verkest and Henk Corporaal}, editor = {Samarjit Chakraborty and Petru Eles}, title = {A Quick Safari Through the MPSoC Run-Time Management Jungle}, booktitle = {Proceedings of the 2007 5th Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2007, October 4-5, Salzburg, Austria, conjunction with {CODES+ISSS} 2007}, pages = {41--46}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ESTMED.2007.4375800}, doi = {10.1109/ESTMED.2007.4375800}, timestamp = {Thu, 17 Feb 2022 09:36:05 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/NolletV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/KumarFHMC07, author = {Akash Kumar and Shakith Fernando and Yajun Ha and Bart Mesman and Henk Corporaal}, editor = {Koen Bertels and Walid A. Najjar and Arjan J. van Genderen and Stamatis Vassiliadis}, title = {Multi-processor System-level Synthesis for Multiple Applications on Platform {FPGA}}, booktitle = {{FPL} 2007, International Conference on Field Programmable Logic and Applications, Amsterdam, The Netherlands, 27-29 August 2007}, pages = {92--97}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/FPL.2007.4380631}, doi = {10.1109/FPL.2007.4380631}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/fpl/KumarFHMC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/PuGCH07, author = {Yu Pu and Jos{\'{e}} de Jesus Pineda de Gyvez and Henk Corporaal and Yajun Ha}, editor = {Diana Marculescu and Anand Raghunathan and Ali Keshavarzi and Vijaykrishnan Narayanan}, title = {V\({}_{\mbox{t}}\) balancing and device sizing towards high yield of sub-threshold static logic gates}, booktitle = {Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007}, pages = {355--358}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1283780.1283857}, doi = {10.1145/1283780.1283857}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/PuGCH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/PalkovicCC07, author = {Martin Palkovic and Henk Corporaal and Francky Catthoor}, title = {Heuristics for Scenario Creation to Enable General Loop Transformations}, booktitle = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland, November 20-21, 2007}, pages = {1--4}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSOC.2007.4427430}, doi = {10.1109/ISSOC.2007.4427430}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/issoc/PalkovicCC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mswim/HoesBTGC07, author = {Rob Hoes and Twan Basten and Chen{-}Khong Tham and Marc Geilen and Henk Corporaal}, editor = {Carla{-}Fabiana Chiasserini and Nael B. Abu{-}Ghazaleh and Sotiris E. Nikoletseas}, title = {Analysing qos trade-offs in wireless sensor networks}, booktitle = {Proceedings of the 10th International Symposium on Modeling Analysis and Simulation of Wireless and Mobile Systems, MSWiM 2007, Chania, Crete Island, Greece, October 22-26, 2007}, pages = {60--69}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1298126.1298140}, doi = {10.1145/1298126.1298140}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mswim/HoesBTGC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/MarescauxBC07, author = {Th{\'{e}}odore Marescaux and Erik Brockmeyer and Henk Corporaal}, title = {The Impact of Higher Communication Layers on NoC Supported MP-SoCs}, booktitle = {First International Symposium on Networks-on-Chips, {NOCS} 2007, 7-9 May 2007, Princeton, New Jersey, USA, Proceedings}, pages = {107--116}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/NOCS.2007.41}, doi = {10.1109/NOCS.2007.41}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nocs/MarescauxBC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/CaarlsJC06, author = {Wouter Caarls and Pieter P. Jonker and Henk Corporaal}, title = {Skeletons and Asynchronous {RPC} for Embedded Data and Task Parallel Image Processing}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {89-D}, number = {7}, pages = {2036--2043}, year = {2006}, url = {https://doi.org/10.1093/ietisy/e89-d.7.2036}, doi = {10.1093/IETISY/E89-D.7.2036}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/CaarlsJC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jec/FatemiMCBK06, author = {Hamed Fatemi and Bart Mesman and Henk Corporaal and Twan Basten and Richard P. Kleihorst}, title = {{RC-SIMD:} Reconfigurable communication {SIMD} architecture for image processing applications}, journal = {J. Embed. Comput.}, volume = {2}, number = {2}, pages = {167--179}, year = {2006}, url = {http://content.iospress.com/articles/journal-of-embedded-computing/jec00032}, timestamp = {Fri, 07 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jec/FatemiMCBK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/PalkovicBVCC06, author = {Martin Palkovic and Erik Brockmeyer and Peter Vanbroekhoven and Henk Corporaal and Francky Catthoor}, title = {Systematic Preprocessing of Data Dependent Constructs for Embedded Systems}, journal = {J. Low Power Electron.}, volume = {2}, number = {1}, pages = {9--1}, year = {2006}, url = {https://doi.org/10.1166/jolpe.2006.002}, doi = {10.1166/JOLPE.2006.002}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/PalkovicBVCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/MesmanFCB06, author = {Bart Mesman and Hamed Fatemi and Henk Corporaal and Twan Basten}, title = {Dynamic-SIMD for lens distortion compensation}, booktitle = {2006 {IEEE} International Conference on Application-Specific Systems, Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat Springs, Colorado, {USA}}, pages = {261--264}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ASAP.2006.29}, doi = {10.1109/ASAP.2006.29}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asap/MesmanFCB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atva/HuangGVC06, author = {Jinfeng Huang and Marc Geilen and Jeroen Voeten and Henk Corporaal}, editor = {Susanne Graf and Wenhui Zhang}, title = {Branching-Time Property Preservation Between Real-Time Systems}, booktitle = {Automated Technology for Verification and Analysis, 4th International Symposium, {ATVA} 2006, Beijing, China, October 23-26, 2006}, series = {Lecture Notes in Computer Science}, volume = {4218}, pages = {260--275}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11901914\_21}, doi = {10.1007/11901914\_21}, timestamp = {Tue, 14 May 2019 10:00:49 +0200}, biburl = {https://dblp.org/rec/conf/atva/HuangGVC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/KumarMCMY06, author = {Akash Kumar and Bart Mesman and Henk Corporaal and Jef L. van Meerbergen and Yajun Ha}, title = {Global Analysis of Resource Arbitration for MPSoC}, booktitle = {Ninth Euromicro Conference on Digital System Design: Architectures, Methods and Tools {(DSD} 2006), 30 August - 1 September 2006, Dubrovnik, Croatia}, pages = {71--78}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/DSD.2006.57}, doi = {10.1109/DSD.2006.57}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/KumarMCMY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ersa/NolletAVC06, author = {Vincent Nollet and Prabhat Avasare and Diederik Verkest and Henk Corporaal}, editor = {Toomas P. Plaks}, title = {Exploiting Hierarchical Configuration to Improve Run-Time MPSoC Task Assignment}, booktitle = {Proceedings of the 2006 International Conference on Engineering of Reconfigurable Systems {\&} Algorithms, {ERSA} 2006, Las Vegas, Nevada, USA, June 26-29, 2006}, pages = {49--55}, publisher = {{CSREA} Press}, year = {2006}, timestamp = {Mon, 11 Dec 2006 15:00:43 +0100}, biburl = {https://dblp.org/rec/conf/ersa/NolletAVC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/KumarMTCY06, author = {Akash Kumar and Bart Mesman and Bart D. Theelen and Henk Corporaal and Yajun Ha}, editor = {Soonhoi Ha and Samarjit Chakraborty}, title = {Resource Manager for Non-preemptive Heterogeneous Multiprocessor System-on-chip}, booktitle = {Proceedings of the 2006 4th Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2006, October 26-27, 2006, Seoul, Korea, conjunction with {CODES+ISSS} 2006}, pages = {33--38}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ESTMED.2006.321271}, doi = {10.1109/ESTMED.2006.321271}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/KumarMTCY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/FlorescuVVC06, author = {Oana Florescu and Jeroen Voeten and Marcel Verhoef and Henk Corporaal}, title = {Reusing Real-Time Systems Design Experience}, booktitle = {Forum on specification and Design Languages, {FDL} 2006, September 19-22, 2006, Darmstadt, Germany, Proceedings}, pages = {375--381}, publisher = {{ECSI}}, year = {2006}, url = {http://www.ecsi-association.org/ecsi/main.asp?l1=library\&\#38;fn=def\&\#38;id=313}, timestamp = {Thu, 03 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fdl/FlorescuVVC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/CaarlsJC06, author = {Wouter Caarls and Pieter P. Jonker and Henk Corporaal}, title = {Algorithmic skeletons for stream programming in embedded heterogeneous parallel image processing applications}, booktitle = {20th International Parallel and Distributed Processing Symposium {(IPDPS} 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/IPDPS.2006.1639351}, doi = {10.1109/IPDPS.2006.1639351}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/CaarlsJC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/FatemiMCBJ06, author = {Hamed Fatemi and Bart Mesman and Henk Corporaal and Twan Basten and Pieter P. Jonker}, title = {Run-time reconfiguration of communication in {SIMD} architectures}, booktitle = {20th International Parallel and Distributed Processing Symposium {(IPDPS} 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/IPDPS.2006.1639470}, doi = {10.1109/IPDPS.2006.1639470}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/FatemiMCBJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/GheorghitaBC06, author = {Stefan Valentin Gheorghita and Twan Basten and Henk Corporaal}, title = {Application Scenarios in Streaming-Oriented Embedded System Design}, booktitle = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland, November 13-16, 2006}, pages = {1--4}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSOC.2006.321995}, doi = {10.1109/ISSOC.2006.321995}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/issoc/GheorghitaBC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/Ykman-CouvreurN06, author = {Chantal Ykman{-}Couvreur and Vincent Nollet and Francky Catthoor and Henk Corporaal}, title = {Fast Multi-Dimension Multi-Choice Knapsack Heuristic for MP-SoC Run-Time Management}, booktitle = {International Symposium on System-on-Chip, SoC 2006, Tampere, Finland, November 13-16, 2006}, pages = {1--4}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSOC.2006.321966}, doi = {10.1109/ISSOC.2006.321966}, timestamp = {Tue, 21 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/issoc/Ykman-CouvreurN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qsic/HuangVC06, author = {Jinfeng Huang and Jeroen Voeten and Henk Corporaal}, title = {Correctness-preserving synthesis for real-time control software}, booktitle = {Sixth International Conference on Quality Software {(QSIC} 2006), 26-28 October 2006, Beijing, China}, pages = {65--73}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/QSIC.2006.21}, doi = {10.1109/QSIC.2006.21}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/qsic/HuangVC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/FlorescuHVC06, author = {Oana Florescu and Jinfeng Huang and Jeroen Voeten and Henk Corporaal}, title = {Strengthening Property Preservation in Concurrent Real-Time Systems}, booktitle = {12th {IEEE} Conference on Embedded and Real-Time Computing Systems and Applications {(RTCSA} 2006), 16-18 August 2006, Sydney, Australia}, pages = {106--109}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RTCSA.2006.58}, doi = {10.1109/RTCSA.2006.58}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/FlorescuHVC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/GheorghitaBC06, author = {Stefan Valentin Gheorghita and Twan Basten and Henk Corporaal}, editor = {Georgi Gaydadjiev and C. John Glossner and Jarmo Takala and Stamatis Vassiliadis}, title = {Profiling Driven Scenarion Detection and Prediction for Multimedia Applications}, booktitle = {Proceedings of 2006 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation {(IC-SAMOS} 2006), Samos, Greece, July 17-20, 2006}, pages = {63--70}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICSAMOS.2006.300810}, doi = {10.1109/ICSAMOS.2006.300810}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/samos/GheorghitaBC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/Ykman-CouvreurNMBCC06, author = {Chantal Ykman{-}Couvreur and Vincent Nollet and Th{\'{e}}odore Marescaux and Erik Brockmeyer and Francky Catthoor and Henk Corporaal}, editor = {Georgi Gaydadjiev and C. John Glossner and Jarmo Takala and Stamatis Vassiliadis}, title = {Pareto-Based Application Specification for MP-SoC Customized Run-Time Management}, booktitle = {Proceedings of 2006 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation {(IC-SAMOS} 2006), Samos, Greece, July 17-20, 2006}, pages = {78--84}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICSAMOS.2006.300812}, doi = {10.1109/ICSAMOS.2006.300812}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/samos/Ykman-CouvreurNMBCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/FlorescuHVC06, author = {Oana Florescu and Menno de Hoon and Jeroen Voeten and Henk Corporaal}, editor = {Stamatis Vassiliadis and Stephan Wong and Timo H{\"{a}}m{\"{a}}l{\"{a}}inen}, title = {Probabilistic Modelling and Evaluation of Soft Real-Time Embedded Systems}, booktitle = {Embedded Computer Systems: Architectures, Modeling, and Simulation, 6th International Workshop, {SAMOS} 2006, Samos, Greece, July 17-20, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4017}, pages = {206--215}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11796435\_22}, doi = {10.1007/11796435\_22}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/samos/FlorescuHVC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/AaJCCD06, author = {Tom Vander Aa and Murali Jayapala and Henk Corporaal and Francky Catthoor and Geert Deconinck}, title = {Instruction Transfer And Storage Exploration for Low Energy VLIWs}, booktitle = {Proceedings of the {IEEE} Workshop on Signal Processing Systems, SiPS 2006, Proceedings, October 2-4, 2006, Banff, Alberta, Canada}, pages = {292--297}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/SIPS.2006.352597}, doi = {10.1109/SIPS.2006.352597}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sips/AaJCCD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jec/AaJBDLCC05, author = {Tom Vander Aa and Murali Jayapala and Francisco Barat and Geert Deconinck and Rudy Lauwereins and Henk Corporaal and Francky Catthoor}, title = {Instruction buffering exploration for low energy embedded processors}, journal = {J. Embed. Comput.}, volume = {1}, number = {3}, pages = {341--351}, year = {2005}, url = {http://content.iospress.com/articles/journal-of-embedded-computing/jec00037}, timestamp = {Fri, 07 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jec/AaJBDLCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jec/GheorghitaCB05, author = {Stefan Valentin Gheorghita and Henk Corporaal and Twan Basten}, title = {Iterative compilation for energy reduction}, journal = {J. Embed. Comput.}, volume = {1}, number = {4}, pages = {509--520}, year = {2005}, url = {http://content.iospress.com/articles/journal-of-embedded-computing/jec00050}, timestamp = {Fri, 07 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jec/GheorghitaCB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/JayapalaBACCD05, author = {Murali Jayapala and Francisco Barat and Tom Vander Aa and Francky Catthoor and Henk Corporaal and Geert Deconinck}, title = {Clustered Loop Buffer Organization for Low Energy {VLIW} Embedded Processors}, journal = {{IEEE} Trans. Computers}, volume = {54}, number = {6}, pages = {672--683}, year = {2005}, url = {https://doi.org/10.1109/TC.2005.92}, doi = {10.1109/TC.2005.92}, timestamp = {Thu, 08 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/JayapalaBACCD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acivs/FatemiCBKJ05, author = {Hamed Fatemi and Henk Corporaal and Twan Basten and Richard P. Kleihorst and Pieter P. Jonker}, editor = {Jacques Blanc{-}Talon and Wilfried Philips and Dan C. Popescu and Paul Scheunders}, title = {Designing Area and Performance Constrained {SIMD/VLIW} Image Processing Architectures}, booktitle = {Advanced Concepts for Intelligent Vision Systems, 7th International Conference, {ACIVS} 2005, Antwerp, Belgium, September 20-23, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3708}, pages = {689--696}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11558484\_87}, doi = {10.1007/11558484\_87}, timestamp = {Fri, 27 Dec 2019 21:26:39 +0100}, biburl = {https://dblp.org/rec/conf/acivs/FatemiCBKJ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/LambrechtsRLTAJCVDCRC05, author = {Andy Lambrechts and Praveen Raghavan and Anthony Leroy and Guillermo Talavera and Tom Vander Aa and Murali Jayapala and Francky Catthoor and Diederik Verkest and Geert Deconinck and Henk Corporaal and Fr{\'{e}}d{\'{e}}ric Robert and Jordi Carrabina}, title = {Power Breakdown Analysis for a Heterogeneous NoC Platform Running a Video Application}, booktitle = {16th {IEEE} International Conference on Application-Specific Systems, Architectures, and Processors {(ASAP} 2005), 23-25 July 2005, Samos, Greece}, pages = {179--184}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ASAP.2005.52}, doi = {10.1109/ASAP.2005.52}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asap/LambrechtsRLTAJCVDCRC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/GheorghitaBC05, author = {Stefan Valentin Gheorghita and Twan Basten and Henk Corporaal}, editor = {Thomas M. Conte and Paolo Faraboschi and William H. Mangione{-}Smith and Walid A. Najjar}, title = {Intra-task scenario-aware voltage scheduling}, booktitle = {Proceedings of the 2005 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2005, San Francisco, California, USA, September 24-27, 2005}, pages = {177--184}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1086297.1086321}, doi = {10.1145/1086297.1086321}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/GheorghitaBC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GheorghitaSBC05, author = {Stefan Valentin Gheorghita and Sander Stuijk and Twan Basten and Henk Corporaal}, editor = {William H. Joyner Jr. and Grant Martin and Andrew B. Kahng}, title = {Automatic scenario detection for improved {WCET} estimation}, booktitle = {Proceedings of the 42nd Design Automation Conference, {DAC} 2005, San Diego, CA, USA, June 13-17, 2005}, pages = {101--104}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1065579.1065610}, doi = {10.1145/1065579.1065610}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GheorghitaSBC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/AvasareNMVC05, author = {Prabhat Avasare and Vincent Nollet and Jean{-}Yves Mignolet and Diederik Verkest and Henk Corporaal}, editor = {Wayne H. Wolf}, title = {Centralized end-to-end flow control in a best-effort network-on-chip}, booktitle = {{EMSOFT} 2005, September 18-22, 2005, Jersey City, NJ, USA, 5th {ACM} International Conference On Embedded Software, Proceedings}, pages = {17--20}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1086228.1086232}, doi = {10.1145/1086228.1086232}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/emsoft/AvasareNMVC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/MarescauxBDNC05, author = {Th{\'{e}}odore Marescaux and B. Bricke and Peter Debacker and Vincent Nollet and Henk Corporaal}, editor = {Miguel Miranda and Soonhoi Ha}, title = {Dynamic Time-Slot Allocation for QoS Enabled Networks on Chip}, booktitle = {Proceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2005, September 22-23, 2005, New York Metropolitan Area, {USA}}, pages = {47--52}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ESTMED.2005.1518069}, doi = {10.1109/ESTMED.2005.1518069}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/MarescauxBDNC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/AaCCD05, author = {Tom Vander Aa and Francky Catthoor and Henk Corporaal and Geert Deconinck}, editor = {Miguel Miranda and Soonhoi Ha}, title = {Combining Data and Instruction Memory Energy Optimizations for Embedded Applications}, booktitle = {Proceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2005, September 22-23, 2005, New York Metropolitan Area, {USA}}, pages = {121--126}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ESTMED.2005.1518088}, doi = {10.1109/ESTMED.2005.1518088}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/AaCCD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/FlorescuVC05, author = {Oana Florescu and Jeroen Voeten and Henk Corporaal}, title = {Synthesis for Unified Control- and Data-Oriented Models}, booktitle = {Forum on specification and Design Languages, {FDL} 2005, September 27-30, 2005, Lausanne, Switzerland, Proceedings}, pages = {531--543}, publisher = {{ECSI}}, year = {2005}, url = {http://www.ecsi-association.org/ecsi/main.asp?l1=library\&\#38;fn=def\&\#38;id=512}, timestamp = {Thu, 03 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fdl/FlorescuVC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeikkinenCTC05, author = {Jari Heikkinen and Andrea G. M. Cilio and Jarmo Takala and Henk Corporaal}, title = {Dictionary-based program compression on transport triggered architectures}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1122--1125}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464790}, doi = {10.1109/ISCAS.2005.1464790}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HeikkinenCTC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/Ykman-CouvreurB05, author = {Chantal Ykman{-}Couvreur and Erik Brockmeyer and Vincent Nollet and Th{\'{e}}odore Marescaux and Francky Catthoor and Henk Corporaal}, title = {Design-Time Application Exploration for MP-SoC Customized Run-Time Management}, booktitle = {Proceedings of the 2005 International Symposium on System-on-Chip, Tampere, Finland, November 15-17, 2005}, pages = {66--69}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISSOC.2005.1595646}, doi = {10.1109/ISSOC.2005.1595646}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/issoc/Ykman-CouvreurB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mva/CaarlsJC05, author = {Wouter Caarls and Pieter P. Jonker and Henk Corporaal}, title = {Skeletons and Asynchronous {RPC} for Embedded Data- and Task Parallel Image Processing}, booktitle = {Proceedings of the {IAPR} Conference on Machine Vision Applications {(IAPR} {MVA} 2005), May 16-18, 2005, Tsukuba Science City, Japan}, pages = {384--387}, year = {2005}, url = {http://b2.cvl.iis.u-tokyo.ac.jp/mva/proceedings/CommemorativeDVD/2005/papers/2005384.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mva/CaarlsJC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parco/MarescauxRNBC05, author = {Th{\'{e}}odore Marescaux and A. Rangevall and Vincent Nollet and T. Andrei Bartic and Henk Corporaal}, editor = {Gerhard R. Joubert and Wolfgang E. Nagel and Frans J. Peters and Oscar G. Plata and P. Tirado and Emilio L. Zapata}, title = {Distributed Congestion Control for Packet Switched Networks on Chip}, booktitle = {Parallel Computing: Current {\&} Future Issues of High-End Computing, Proceedings of the International Conference ParCo 2005, 13-16 September 2005, Department of Computer Architecture, University of Malaga, Spain}, series = {John von Neumann Institute for Computing Series}, volume = {33}, pages = {761--768}, publisher = {Central Institute for Applied Mathematics, J{\"{u}}lich, Germany}, year = {2005}, timestamp = {Mon, 06 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/parco/MarescauxRNBC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/PalkovicBVCC05, author = {Martin Palkovic and Erik Brockmeyer and Peter Vanbroekhoven and Henk Corporaal and Francky Catthoor}, editor = {Vassilis Paliouras and Johan Vounckx and Diederik Verkest}, title = {Systematic Preprocessing of Data Dependent Constructs for Embedded Systems}, booktitle = {Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation, 15th International Workshop, {PATMOS} 2005, Leuven, Belgium, September 21-23, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3728}, pages = {89--98}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11556930\_10}, doi = {10.1007/11556930\_10}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/patmos/PalkovicBVCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scopes/PalkovicCC05, author = {Martin Palkovic and Henk Corporaal and Francky Catthoor}, editor = {Krishna M. Kavi and Ron Cytron}, title = {Global Memory Optimisation for Embedded Systems Allowed by Code Duplication}, booktitle = {Proceedings of the 9th International Workshop on Software and Compilers for Embedded Systems, Dallas, Texas, USA, September 29 - October 1, 2005}, series = {{ACM} International Conference Proceeding Series}, volume = {136}, pages = {72--79}, year = {2005}, url = {https://doi.org/10.1145/1140389.1140397}, doi = {10.1145/1140389.1140397}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/scopes/PalkovicCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/TerechkoGC05, author = {Andrei Sergeevich Terechko and Manish Garg and Henk Corporaal}, title = {Evaluation of Speed and Area of Clustered {VLIW} Processors}, booktitle = {18th International Conference on {VLSI} Design {(VLSI} Design 2005), with the 4th International Conference on Embedded Systems Design, 3-7 January 2005, Kolkata, India}, pages = {557--563}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICVD.2005.95}, doi = {10.1109/ICVD.2005.95}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/TerechkoGC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/AaJBDLCC04, author = {Tom Vander Aa and Murali Jayapala and Francisco Barat and Geert Deconinck and Rudy Lauwereins and Francky Catthoor and Henk Corporaal}, editor = {Masaharu Imai}, title = {Instruction buffering exploration for low energy VLIWs with instruction clusters}, booktitle = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004}, pages = {824--829}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.ieeecomputersociety.org/10.1109/ASPDAC.2004.117}, doi = {10.1109/ASPDAC.2004.117}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/AaJBDLCC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/FlorescuVHC04, author = {Oana Florescu and Jeroen Voeten and Jinfeng Huang and Henk Corporaal}, title = {Error Estimation in Model-Driven Development for Real-Time Software}, booktitle = {Forum on specification and Design Languages, {FDL} 2004, September 14-17, 2004, Lille, France, Proceedings}, pages = {228--240}, publisher = {{ECSI}}, year = {2004}, url = {http://www.ecsi-association.org/ecsi/main.asp?l1=library\&\#38;fn=def\&\#38;id=555}, timestamp = {Thu, 03 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fdl/FlorescuVHC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isola/FlorescuVC04, author = {Oana Florescu and Jeroen Voeten and Henk Corporaal}, editor = {Tiziana Margaria and Bernhard Steffen and Anna Philippou and Manfred Reitenspie{\ss}}, title = {A Unified Model for Analysis of Real-Time Properties}, booktitle = {International Symposium on Leveraging Applications of Formal Methods, ISoLA 2004, October 30 - November 2, 2004, Paphos, Cyprus. Preliminary proceedings}, series = {Technical Report}, volume = {{TR-2004-6}}, pages = {220--226}, publisher = {Department of Computer Science, University of Cyprus}, year = {2004}, timestamp = {Thu, 11 Sep 2008 12:07:39 +0200}, biburl = {https://dblp.org/rec/conf/isola/FlorescuVC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/JayapalaABCCD04, author = {Murali Jayapala and Tom Vander Aa and Francisco Barat and Francky Catthoor and Henk Corporaal and Geert Deconinck}, editor = {Enrico Macii and Odysseas G. Koufopavlou and Vassilis Paliouras}, title = {{L0} Cluster Synthesis and Operation Shuffling}, booktitle = {Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation; 14th International Workshop, {PATMOS} 2004, Santorini, Greece, September 15-17, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3254}, pages = {311--321}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30205-6\_33}, doi = {10.1007/978-3-540-30205-6\_33}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/patmos/JayapalaABCCD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtss/LambrechtsAJTLSBMCVDCRB04, author = {Andy Lambrechts and Tom Vander Aa and Murali Jayapala and Guillermo Talavera and Anthony Leroy and Adelina Shickova and Francisco Barat and Bingfeng Mei and Francky Catthoor and Diederik Verkest and Geert Deconinck and Henk Corporaal and Fr{\'{e}}d{\'{e}}ric Robert and Jordi Carrabina Bordoll}, title = {Design Style Case Study for Embedded Multi Media Compute Nodes}, booktitle = {Proceedings of the 25th {IEEE} Real-Time Systems Symposium {(RTSS} 2004), 5-8 December 2004, Lisbon, Portugal}, pages = {104--113}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/REAL.2004.18}, doi = {10.1109/REAL.2004.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtss/LambrechtsAJTLSBMCVDCRB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/JayapalaABDCC04, author = {Murali Jayapala and Tom Vander Aa and Francisco Barat and Geert Deconinck and Francky Catthoor and Henk Corporaal}, editor = {Hisham Haddad and Andrea Omicini and Roger L. Wainwright and Lorie M. Liebrock}, title = {{L0} buffer energy optimization through scheduling and exploration}, booktitle = {Proceedings of the 2004 {ACM} Symposium on Applied Computing (SAC), Nicosia, Cyprus, March 14-17, 2004}, pages = {905--906}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/967900.968082}, doi = {10.1145/967900.968082}, timestamp = {Tue, 06 Nov 2018 11:06:44 +0100}, biburl = {https://dblp.org/rec/conf/sac/JayapalaABDCC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/TerechkoTC03, author = {Andrei Sergeevich Terechko and Erwan Le Thenaff and Henk Corporaal}, editor = {Jaime H. Moreno and Praveen K. Murthy and Thomas M. Conte and Paolo Faraboschi}, title = {Cluster assignment of global values for clustered {VLIW} processors}, booktitle = {Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California, USA, October 30 - November 1, 2003}, pages = {32--40}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/951710.951717}, doi = {10.1145/951710.951717}, timestamp = {Fri, 26 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cases/TerechkoTC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MarchalGPBBCC03, author = {Paul Marchal and Jos{\'{e}} Ignacio G{\'{o}}mez and Luis Pi{\~{n}}uel and Davide Bruni and Luca Benini and Francky Catthoor and Henk Corporaal}, title = {SDRAM-Energy-Aware Memory Allocation for Dynamic Multi-Media Applications on Multi-Processor Platforms}, booktitle = {2003 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2003), 3-7 March 2003, Munich, Germany}, pages = {10516--10523}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.ieeecomputersociety.org/10.1109/DATE.2003.10105}, doi = {10.1109/DATE.2003.10105}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MarchalGPBBCC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BrockmeyerMCC03, author = {Erik Brockmeyer and Miguel Miranda and Henk Corporaal and Francky Catthoor}, title = {Layer Assignment echniques for Low Energy in Multi-Layered Memory Organisations}, booktitle = {2003 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2003), 3-7 March 2003, Munich, Germany}, pages = {11070--11075}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.ieeecomputersociety.org/10.1109/DATE.2003.10132}, doi = {10.1109/DATE.2003.10132}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BrockmeyerMCC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/BaratJALDC03, author = {Francisco Barat and Murali Jayapala and Tom Vander Aa and Rudy Lauwereins and Geert Deconinck and Henk Corporaal}, editor = {Peter Y. K. Cheung and George A. Constantinides and Jos{\'{e}} T. de Sousa}, title = {Low Power Coarse-Grained Reconfigurable Instruction Set Processor}, booktitle = {Field Programmable Logic and Application, 13th International Conference, {FPL} 2003, Lisbon, Portugal, September 1-3, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2778}, pages = {230--239}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-45234-8\_23}, doi = {10.1007/978-3-540-45234-8\_23}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/fpl/BaratJALDC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/TerechkoTGEC03, author = {Andrei Sergeevich Terechko and Erwan Le Thenaff and Manish Garg and Jos T. J. van Eijndhoven and Henk Corporaal}, title = {Inter-Cluster Communication Models for Clustered {VLIW} Processors}, booktitle = {Proceedings of the Ninth International Symposium on High-Performance Computer Architecture (HPCA'03), Anaheim, California, USA, February 8-12, 2003}, pages = {354--364}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/HPCA.2003.1183552}, doi = {10.1109/HPCA.2003.1183552}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/TerechkoTGEC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/HeikkinenRCTC03, author = {Jari Heikkinen and Tommi Rantanen and Andrea G. M. Cilio and Jarmo Takala and Henk Corporaal}, title = {Immediate optimization for compressed transport triggered architecture instructions}, booktitle = {Proceedings of the 2003 International Symposium on System-on-Chip, Tampere, Finland, November 19-21, 2003}, pages = {65--68}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ISSOC.2003.1267719}, doi = {10.1109/ISSOC.2003.1267719}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/issoc/HeikkinenRCTC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwsoc/HeikkinenRCTC03, author = {Jari Heikkinen and Tommi Rantanen and Andrea G. M. Cilio and Jarmo Takala and Henk Corporaal}, title = {Evaluating Template-Based Instruction Compression on Transport Triggered Architectures}, booktitle = {Proceedings of the 3rd {IEEE} International Workshop on System-on-Chip for Real-Time Applications (IWSOC'03), 30 June - 2 July 2003, Calgary, Alberta, Canada}, pages = {192--195}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/IWSOC.2003.1213033}, doi = {10.1109/IWSOC.2003.1213033}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwsoc/HeikkinenRCTC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lctrts/VanbroekhovenCC03, author = {Peter Vanbroekhoven and Henk Corporaal and Francky Catthoor}, editor = {Frank Mueller and Ulrich Kremer}, title = {Advanced copy propagation for arrays}, booktitle = {Proceedings of the 2003 Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'03). San Diego, California, USA, June 11-13, 2003}, pages = {24--33}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/780732.780736}, doi = {10.1145/780732.780736}, timestamp = {Fri, 25 Jun 2021 17:17:37 +0200}, biburl = {https://dblp.org/rec/conf/lctrts/VanbroekhovenCC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/AaJBDLCC03, author = {Tom Vander Aa and Murali Jayapala and Francisco Barat and Geert Deconinck and Rudy Lauwereins and Henk Corporaal and Francky Catthoor}, editor = {Jorge Juan{-}Chico and Enrico Macii}, title = {Instruction Buffering Exploration for Low Energy Embedded Processors}, booktitle = {Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation, 13th International Workshop, {PATMOS} 2003, Torino, Italy, September 10-12, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2799}, pages = {409--419}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-39762-5\_47}, doi = {10.1007/978-3-540-39762-5\_47}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/patmos/AaJBDLCC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scopes/ZhaoMC03, author = {Qin Zhao and Bart Mesman and Henk Corporaal}, editor = {Andreas Krall}, title = {Limited Address Range Architecture for Reducing Code Size in Embedded Processors}, booktitle = {Software and Compilers for Embedded Systems, 7th International Workshop, {SCOPES} 2003, Vienna, Austria, September 24-26, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2826}, pages = {2--16}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-39920-9\_2}, doi = {10.1007/978-3-540-39920-9\_2}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/scopes/ZhaoMC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/PapanikolaouMCCMRSM03, author = {Antonis Papanikolaou and Miguel Miranda and Francky Catthoor and Henk Corporaal and Hugo De Man and David De Roest and Michele Stucchi and Karen Maex}, editor = {Dennis Sylvester and Dirk Stroobandt and Louis Scheffer and Payman Zarkesh{-}Ha}, title = {Global interconnect trade-off for technology over memory modules to application level: case study}, booktitle = {The 5th International Workshop on System-Level Interconnect Prediction {(SLIP} 2003), Monterey, CA, USA, April 5-6, 2003, Proceedings}, pages = {125--132}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/639929.639954}, doi = {10.1145/639929.639954}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/slip/PapanikolaouMCCMRSM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/03/MarchalGBBPCC03, author = {Pol Marchal and Jos{\'{e}} Ignacio G{\'{o}}mez and Davide Bruni and Luca Benini and Luis Pi{\~{n}}uel and Francky Catthoor and Henk Corporaal}, editor = {Ahmed Amine Jerraya and Sungjoo Yoo and Diederik Verkest and Norbert Wehn}, title = {SDRAM-Energy-Aware Memory Allocation for Dynamic Multi-Media Applications on Multi-Processor Platforms}, booktitle = {Embedded Software for SoC}, pages = {319--330}, publisher = {Kluwer / Springer}, year = {2003}, url = {https://doi.org/10.1007/0-306-48709-8\_24}, doi = {10.1007/0-306-48709-8\_24}, timestamp = {Thu, 04 Jul 2019 16:02:30 +0200}, biburl = {https://dblp.org/rec/books/sp/03/MarchalGBBPCC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cc/CilioC02, author = {Andrea G. M. Cilio and Henk Corporaal}, editor = {R. Nigel Horspool}, title = {Global Variable Promotion: Using Registers to Reduce Cache Power Dissipation}, booktitle = {Compiler Construction, 11th International Conference, {CC} 2002, Held as Part of the Joint European Conferences on Theory and Practice of Software, {ETAPS} 2002, Grenoble, France, April 8-12, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2304}, pages = {247--260}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45937-5\_18}, doi = {10.1007/3-540-45937-5\_18}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/cc/CilioC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/JayapalaBBCDC02, author = {Murali Jayapala and Francisco Barat and Pieter Op de Beeck and Francky Catthoor and Geert Deconinck and Henk Corporaal}, editor = {Bertrand Hochet and Antonio J. Acosta and Manuel J. Bellido}, title = {A Low Energy Clustered Instruction Memory Hierarchy for Long Instruction Word Processors}, booktitle = {Integrated Circuit Design. Power and Timing Modeling, Optimization and Simulation, 12th International Workshop, {PATMOS} 2002, Seville, Spain, September 11-13, 2002}, series = {Lecture Notes in Computer Science}, volume = {2451}, pages = {258--267}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45716-X\_26}, doi = {10.1007/3-540-45716-X\_26}, timestamp = {Fri, 03 Jun 2022 08:18:13 +0200}, biburl = {https://dblp.org/rec/conf/patmos/JayapalaBBCDC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/PapanikolaouMCCMRSM02, author = {Antonis Papanikolaou and Miguel Miranda and Francky Catthoor and Henk Corporaal and Hugo De Man and David De Roest and Michele Stucchi and Karen Maex}, title = {Interconnect exploration for future wire dominated technologies}, booktitle = {The Fourth {IEEE/ACM} International Workshop on System-Level Interconnect Prediction {(SLIP} 2002), April 6-7, 2002, San Diego, California, USA, Proceedings}, pages = {105--106}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/505348.505370}, doi = {10.1145/505348.505370}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/slip/PapanikolaouMCCMRSM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/ArnoldC01, author = {Marnix Arnold and Henk Corporaal}, editor = {Jan Madsen and J{\"{o}}rg Henkel and Xiaobo Sharon Hu}, title = {Designing domain-specific processors}, booktitle = {Proceedings of the Ninth International Symposium on Hardware/Software Codesign, {CODES} 2001, Copenhagen, Denmark, 2001}, pages = {61--66}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/371636.371677}, doi = {10.1145/371636.371677}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/codes/ArnoldC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcn/CilioC01, author = {Andrea G. M. Cilio and Henk Corporaal}, editor = {Louis O. Hertzberger and Alfons G. Hoekstra and Roy Williams}, title = {Code Positioning for {VLIW} Architectures}, booktitle = {High-Performance Computing and Networking, 9th International Conference, {HPCN} Europe 2001, Amsterdam, The Netherlands, June 25-27, 2001, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2110}, pages = {332--343}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-48228-8\_34}, doi = {10.1007/3-540-48228-8\_34}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/hpcn/CilioC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HamalainenHHCS01, author = {Panu H{\"{a}}m{\"{a}}l{\"{a}}inen and Marko H{\"{a}}nnik{\"{a}}inen and Timo H{\"{a}}m{\"{a}}l{\"{a}}inen and Henk Corporaal and Jukka Saarinen}, title = {Implementation of encryption algorithms on transport triggered architectures}, booktitle = {Proceedings of the 2001 International Symposium on Circuits and Systems, {ISCAS} 2001, Sydney, Australia, May 6-9, 2001}, pages = {726--729}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ISCAS.2001.922340}, doi = {10.1109/ISCAS.2001.922340}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HamalainenHHCS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/CilioC00, author = {Andrea G. M. Cilio and Henk Corporaal}, title = {Link-time effective whole-program optimizations}, journal = {Future Gener. Comput. Syst.}, volume = {16}, number = {5}, pages = {503--511}, year = {2000}, url = {https://doi.org/10.1016/S0167-739X(99)00127-2}, doi = {10.1016/S0167-739X(99)00127-2}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/CilioC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/CorporaalJA00, author = {Henk Corporaal and Johan Janssen and Marnix Arnold}, title = {Computation in the Context of Transport Triggered Architectures}, journal = {Int. J. Parallel Program.}, volume = {28}, number = {4}, pages = {401--427}, year = {2000}, url = {https://doi.org/10.1023/A:1007511206083}, doi = {10.1023/A:1007511206083}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/CorporaalJA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/ManniesingKC00, author = {Rashindra Manniesing and Ireneusz Karkowski and Henk Corporaal}, editor = {Arndt Bode and Thomas Ludwig and Wolfgang Karl and Roland Wism{\"{u}}ller}, title = {Automatic {SIMD} Parallelization of Embedded Applications Based on Pattern Recognition}, booktitle = {Euro-Par 2000, Parallel Processing, 6th International Euro-Par Conference, Munich, Germany, August 29 - September 1, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1900}, pages = {349--356}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-44520-X\_46}, doi = {10.1007/3-540-44520-X\_46}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/europar/ManniesingKC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/StancaVCC00, author = {Marian Stanca and Stamatis Vassiliadis and Sorin Cotofana and Henk Corporaal}, editor = {Arndt Bode and Thomas Ludwig and Wolfgang Karl and Roland Wism{\"{u}}ller}, title = {Hashed Addressed Caches for Embedded Pointer Based Codes (Research Note)}, booktitle = {Euro-Par 2000, Parallel Processing, 6th International Euro-Par Conference, Munich, Germany, August 29 - September 1, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1900}, pages = {965--968}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-44520-X\_136}, doi = {10.1007/3-540-44520-X\_136}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/StancaVCC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/SchotC00, author = {Henjo Schot and Henk Corporaal}, editor = {Arndt Bode and Thomas Ludwig and Wolfgang Karl and Roland Wism{\"{u}}ller}, title = {Automated Design of an {ASIP} for Image Processing Applications (Research Note)}, booktitle = {Euro-Par 2000, Parallel Processing, 6th International Euro-Par Conference, Munich, Germany, August 29 - September 1, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1900}, pages = {1105--1109}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-44520-X\_156}, doi = {10.1007/3-540-44520-X\_156}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/SchotC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/Corporaal99, author = {Henk Corporaal}, title = {TTAs: Missing the {ILP} complexity wall}, journal = {J. Syst. Archit.}, volume = {45}, number = {12-13}, pages = {949--973}, year = {1999}, url = {https://doi.org/10.1016/S1383-7621(98)00046-0}, doi = {10.1016/S1383-7621(98)00046-0}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/Corporaal99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cc/CilioC99, author = {Andrea G. M. Cilio and Henk Corporaal}, editor = {Stefan J{\"{a}}hnichen}, title = {Floating Point to Fixed Point Conversion of {C} Code}, booktitle = {Compiler Construction, 8th International Conference, CC'99, Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS'99, Amsterdam, The Netherlands, 22-28 March, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1575}, pages = {229--243}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/978-3-540-49051-7\_16}, doi = {10.1007/978-3-540-49051-7\_16}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/cc/CilioC99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cc/BoekholdKCC99, author = {Maarten Boekhold and Ireneusz Karkowski and Henk Corporaal and Andrea G. M. Cilio}, editor = {Stefan J{\"{a}}hnichen}, title = {A Programmable {ANSI} {C} Transformation Engine}, booktitle = {Compiler Construction, 8th International Conference, CC'99, Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS'99, Amsterdam, The Netherlands, 22-28 March, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1575}, pages = {292--295}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/978-3-540-49051-7\_21}, doi = {10.1007/978-3-540-49051-7\_21}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cc/BoekholdKCC99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/ArnoldC99, author = {Marnix Arnold and Henk Corporaal}, editor = {Ahmed Amine Jerraya and Luciano Lavagno and Frank Vahid}, title = {Automatic detection of recurring operation patterns}, booktitle = {Proceedings of the Seventh International Workshop on Hardware/Software Codesign, {CODES} 1999, Rome, Italy, 1999}, pages = {22--26}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/301177.301192}, doi = {10.1145/301177.301192}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/codes/ArnoldC99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcn/CilioC99, author = {Andrea G. M. Cilio and Henk Corporaal}, editor = {Peter M. A. Sloot and Marian Bubak and Alfons G. Hoekstra and Louis O. Hertzberger}, title = {A Linker for effective Whole-Program Optimization}, booktitle = {High-Performance Computing and Networking, 7th International Conference, {HPCN} Europe 1999, Amsterdam, The Netherlands, April 12-14, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1593}, pages = {643--652}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/BFb0100625}, doi = {10.1007/BFB0100625}, timestamp = {Sun, 12 Nov 2023 02:09:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcn/CilioC99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcn/BoekholdKC99, author = {Maarten Boekhold and Ireneusz Karkowski and Henk Corporaal}, editor = {Peter M. A. Sloot and Marian Bubak and Alfons G. Hoekstra and Louis O. Hertzberger}, title = {Transformatiing and Parallelizing {ANSI} {C} Programs using Pattern Recognition}, booktitle = {High-Performance Computing and Networking, 7th International Conference, {HPCN} Europe 1999, Amsterdam, The Netherlands, April 12-14, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1593}, pages = {673--682}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/BFb0100628}, doi = {10.1007/BFB0100628}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpcn/BoekholdKC99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/KarkowskiC98, author = {Ireneusz Karkowski and Henk Corporaal}, title = {Overcoming the limitations of the traditional loop parallelization}, journal = {Future Gener. Comput. Syst.}, volume = {13}, number = {4-5}, pages = {407--416}, year = {1998}, url = {https://doi.org/10.1016/S0167-739X(97)00041-1}, doi = {10.1016/S0167-739X(97)00041-1}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/KarkowskiC98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icae/CorporaalA98, author = {Henk Corporaal and Marnix Arnold}, title = {Using Transport Triggered Architectures for Embedded Processor Design}, journal = {Integr. Comput. Aided Eng.}, volume = {5}, number = {1}, pages = {19--38}, year = {1998}, url = {https://doi.org/10.3233/ica-1998-5103}, doi = {10.3233/ICA-1998-5103}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icae/CorporaalA98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/KarkowskiC98, author = {Ireneusz Karkowski and Henk Corporaal}, title = {Exploiting Fine- and Coarse-Grain Parallelism in Embedded Programs}, booktitle = {Proceedings of the 1998 International Conference on Parallel Architectures and Compilation Techniques, Paris, France, October 12-18, 1998}, pages = {60--67}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/PACT.1998.727152}, doi = {10.1109/PACT.1998.727152}, timestamp = {Mon, 30 May 2022 14:39:06 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/KarkowskiC98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KarkowskiC98, author = {Ireneusz Karkowski and Henk Corporaal}, editor = {Basant R. Chawla and Randal E. Bryant and Jan M. Rabaey}, title = {Design Space Exploration Algorithm for Heterogeneous Multi-Processor Embedded System Design}, booktitle = {Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998}, pages = {82--87}, publisher = {{ACM} Press}, year = {1998}, url = {https://doi.org/10.1145/277044.277060}, doi = {10.1145/277044.277060}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KarkowskiC98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/daglib/0097405, author = {Henk Corporaal}, title = {Microprocessor architectures - from {VLIW} to {TTA}}, publisher = {Wiley}, year = {1997}, isbn = {978-0-471-97157-3}, timestamp = {Thu, 03 Feb 2011 00:00:00 +0100}, biburl = {https://dblp.org/rec/books/daglib/0097405.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/toplas/JanssenC97, author = {Johan Janssen and Henk Corporaal}, title = {Making Graphs Reducible with Controlled Node Splitting}, journal = {{ACM} Trans. Program. Lang. Syst.}, volume = {19}, number = {6}, pages = {1031--1052}, year = {1997}, url = {https://doi.org/10.1145/267959.269971}, doi = {10.1145/267959.269971}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/toplas/JanssenC97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/KarkowskiC97, author = {Ireneusz Karkowski and Henk Corporaal}, title = {Design of Heterogenous Multi-Processor Embedded Systems: Applying Functional Pipelining}, booktitle = {Proceedings of the 1997 Conference on Parallel Architectures and Compilation Techniques {(PACT} '97), San Francisco, CA, USA, October 11-15, 1997}, pages = {156--165}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/PACT.1997.644012}, doi = {10.1109/PACT.1997.644012}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/KarkowskiC97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/anss/HoogstraetenC97, author = {Wilco Van Hoogstraeten and Henk Corporaal}, title = {{ADVISE:} Performance Evaluation of Parallel {VHDL} Simulation}, booktitle = {Proceedings 30st Annual Simulation Symposium {(SS} '97), April 7-9, 1997, Atlanta, GA, {USA}}, pages = {146--156}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/SIMSYM.1997.586510}, doi = {10.1109/SIMSYM.1997.586510}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/anss/HoogstraetenC97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/Corporaal97, author = {Henk Corporaal}, title = {A different approach to high performance computing}, booktitle = {Proceedings of the Fourth International on High-Performance Computing, HiPC 1997, Bangalore, India, 18-21 December, 1997}, pages = {22--27}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/HIPC.1997.634464}, doi = {10.1109/HIPC.1997.634464}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipc/Corporaal97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/KarkowskiC97, author = {Ireneusz Karkowski and Henk Corporaal}, title = {FP-map-an approach to the functional pipelining of embedded programs}, booktitle = {Proceedings of the Fourth International on High-Performance Computing, HiPC 1997, Bangalore, India, 18-21 December, 1997}, pages = {415--420}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/HIPC.1997.634523}, doi = {10.1109/HIPC.1997.634523}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipc/KarkowskiC97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcn/HordijkC97, author = {Jeroen Hordijk and Henk Corporaal}, editor = {Louis O. Hertzberger and Peter M. A. Sloot}, title = {The Potential of Exploiting Coarse-Grain Task Parallelism from Sequential Programs}, booktitle = {High-Performance Computing and Networking, International Conference and Exhibition, {HPCN} Europe 1997, Vienna, Austria, April 28-30, 1997, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1225}, pages = {664--673}, publisher = {Springer}, year = {1997}, url = {https://doi.org/10.1007/BFb0031638}, doi = {10.1007/BFB0031638}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/hpcn/HordijkC97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcn/KarkowskiC97, author = {Ireneusz Karkowski and Henk Corporaal}, editor = {Louis O. Hertzberger and Peter M. A. Sloot}, title = {Overcoming the Limitations of the Traditional Loop Parallelization}, booktitle = {High-Performance Computing and Networking, International Conference and Exhibition, {HPCN} Europe 1997, Vienna, Austria, April 28-30, 1997, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1225}, pages = {898--907}, publisher = {Springer}, year = {1997}, url = {https://doi.org/10.1007/BFb0031661}, doi = {10.1007/BFB0031661}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpcn/KarkowskiC97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cc/JanssenC96, author = {Johan Janssen and Henk Corporaal}, editor = {Tibor Gyim{\'{o}}thy}, title = {Controlled Node Splitting}, booktitle = {Compiler Construction, 6th International Conference, CC'96, Link{\"{o}}ping, Sweden, April 24-26, 1996, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1060}, pages = {44--58}, publisher = {Springer}, year = {1996}, url = {https://doi.org/10.1007/3-540-61053-7\_52}, doi = {10.1007/3-540-61053-7\_52}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/cc/JanssenC96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/JanssenC95, author = {Johan Janssen and Henk Corporaal}, editor = {Trevor N. Mudge and Kemal Ebcioglu}, title = {Partitioned register file for TTAs}, booktitle = {Proceedings of the 28th Annual International Symposium on Microarchitecture, Ann Arbor, Michigan, USA, November 29 - December 1, 1995}, pages = {303--312}, publisher = {{ACM} / {IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/MICRO.1995.476840}, doi = {10.1109/MICRO.1995.476840}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/JanssenC95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cc/HoogerbruggeC94, author = {Jan Hoogerbrugge and Henk Corporaal}, editor = {Peter Fritzson}, title = {Transport-Triggering versus Operation-Triggering}, booktitle = {Compiler Construction, 5th International Conference, CC'94, Edinburgh, UK, April 7-9, 1994, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {786}, pages = {435--449}, publisher = {Springer}, year = {1994}, url = {https://doi.org/10.1007/3-540-57877-3\_29}, doi = {10.1007/3-540-57877-3\_29}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/cc/HoogerbruggeC94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dagstuhl/CorporaalH94, author = {Henk Corporaal and Jan Hoogerbrugge}, editor = {Peter Marwedel and Gert Goossens}, title = {Code generation for transport triggered architectures}, booktitle = {Code Generation for Embedded Processors [Dagstuhl Workshop, Dagstuhl, Germany, August 31 - September 2, 1994]}, pages = {240--259}, publisher = {Kluwer}, year = {1994}, timestamp = {Tue, 19 Jun 2018 18:52:39 +0200}, biburl = {https://dblp.org/rec/conf/dagstuhl/CorporaalH94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/OttensCH94, author = {Arlet Ottens and Henk Corporaal and Wilco Van Hoogstraeten}, editor = {Jean Mermet}, title = {A new flexible {VHDL} simulator}, booktitle = {Proceedings EURO-DAC'94, European Design Automation Conference, Grenoble, France, September 19-22, 1994}, pages = {604--609}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {http://dl.acm.org/citation.cfm?id=198337}, timestamp = {Wed, 29 Mar 2017 16:45:25 +0200}, biburl = {https://dblp.org/rec/conf/eurodac/OttensCH94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurosim/OkC94, author = {Eddy Olk and Henk Corporaal}, editor = {Len Dekker and Wim Smit and Jan C. Zuidervaart}, title = {Application Driven {MIMD} Communication Processor Design}, booktitle = {Massively Parallel Processing Applications and Develompent, Proceedings of the 1994 {EUROSIM} Conference on Massively Parallel Processing Applications and Develompent, 21-23 June 1994, Delft, The Netherlands}, pages = {609--616}, publisher = {Elsevier}, year = {1994}, timestamp = {Mon, 30 Jun 2008 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eurosim/OkC94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/Corporaal94, author = {Henk Corporaal}, title = {Design of transport triggered architectures}, booktitle = {Fourth Great Lakes Symposium on Design Automation of High Performance {VLSI} Systems, {GLSV} '94, Notre Dame, IN, USA, March 4-5, 1994}, pages = {130--135}, publisher = {{IEEE}}, year = {1994}, url = {https://doi.org/10.1109/GLSV.1994.289981}, doi = {10.1109/GLSV.1994.289981}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/Corporaal94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/HoogerbruggeC94, author = {Jan Hoogerbrugge and Henk Corporaal}, editor = {Hans Mulder and Matthew K. Farrens}, title = {Register file port requirements of transport triggered architectures}, booktitle = {Proceedings of the 27th Annual International Symposium on Microarchitecture, San Jose, California, USA, November 30 - December 2, 1994}, pages = {191--195}, publisher = {{ACM} / {IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/MICRO.1994.717458}, doi = {10.1109/MICRO.1994.717458}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/micro/HoogerbruggeC94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/Corporaal93, author = {Henk Corporaal}, title = {Evaluating transport triggered architectures for scalar applications}, journal = {Microprocess. Microprogramming}, volume = {38}, number = {1-5}, pages = {45--52}, year = {1993}, url = {https://doi.org/10.1016/0165-6074(93)90124-4}, doi = {10.1016/0165-6074(93)90124-4}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/Corporaal93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/CorporaalA93, author = {Henk Corporaal and Paul van der Arend}, title = {Move32int, a sea of gates realization of a high performance transport triggered architecture}, journal = {Microprocess. Microprogramming}, volume = {38}, number = {1-5}, pages = {53--60}, year = {1993}, url = {https://doi.org/10.1016/0165-6074(93)90125-5}, doi = {10.1016/0165-6074(93)90125-5}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/CorporaalA93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parco/OlkC93, author = {J. G. E. Olk and Henk Corporaal}, editor = {Gerhard R. Joubert and Denis Trystram and Frans J. Peters and David J. Evans}, title = {The {OSI} Model Applied to {MIMD} Communication Processor Design}, booktitle = {Parallel Computing: Trends and Applications, {PARCO} 1993, Grenoble, France}, pages = {403--410}, publisher = {Elsevier}, year = {1993}, timestamp = {Tue, 13 Mar 2018 14:43:53 +0100}, biburl = {https://dblp.org/rec/conf/parco/OlkC93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cc/HoogerbruggeC92, author = {Jan Hoogerbrugge and Henk Corporaal}, editor = {Uwe Kastens and Peter Pfahler}, title = {Comparing Software Pipelining for an Operation-Triggered and a Tarnsport-Triggered Architecture}, booktitle = {Compiler Construction, 4th International Conference on Compiler Construction, CC'92, Paderborn, Germany, October 5-7, 1992, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {641}, pages = {219--228}, publisher = {Springer}, year = {1992}, url = {https://doi.org/10.1007/3-540-55984-1\_20}, doi = {10.1007/3-540-55984-1\_20}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/cc/HoogerbruggeC92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edmcc/CorporaalO91, author = {Henk Corporaal and J. G. E. Olk}, editor = {Arndt Bode}, title = {A Scalable Communication Processor Design supporting Systolic Communication}, booktitle = {Distributed Memory Computing, 2nd European Conference, EDMCC2, Munich, FRG, April 22-24, 1991, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {487}, pages = {213--223}, publisher = {Springer}, year = {1991}, url = {https://doi.org/10.1007/BFb0032938}, doi = {10.1007/BFB0032938}, timestamp = {Fri, 17 Nov 2023 09:27:30 +0100}, biburl = {https://dblp.org/rec/conf/edmcc/CorporaalO91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edmcc/Corporaal91, author = {Henk Corporaal}, editor = {Arndt Bode}, title = {Distributed Heapmanagement using reference weights}, booktitle = {Distributed Memory Computing, 2nd European Conference, EDMCC2, Munich, FRG, April 22-24, 1991, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {487}, pages = {325--336}, publisher = {Springer}, year = {1991}, url = {https://doi.org/10.1007/BFb0032949}, doi = {10.1007/BFB0032949}, timestamp = {Fri, 19 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/edmcc/Corporaal91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/HoogerbruggeCM91, author = {Jan Hoogerbrugge and Henk Corporaal and Hans M. Mulder}, editor = {Yashwant K. Malaiya}, title = {Software Pipelining for Transport-Triggered Architectures}, booktitle = {Proceedings of the 24th Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 24, Albuquerque, New Mexico, USA, November 18-20, 1991}, pages = {74--81}, publisher = {{ACM/IEEE}}, year = {1991}, url = {https://doi.org/10.1145/123465.123479}, doi = {10.1145/123465.123479}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/HoogerbruggeCM91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/CorporaalM91, author = {Henk Corporaal and Hans M. Mulder}, editor = {Joanne L. Martin}, title = {{MOVE:} a framework for high-performance processor design}, booktitle = {Proceedings Supercomputing '91, Albuquerque, NM, USA, November 18-22, 1991}, pages = {692--701}, publisher = {{ACM}}, year = {1991}, url = {https://doi.org/10.1145/125826.126159}, doi = {10.1145/125826.126159}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/CorporaalM91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/GoorC89, author = {Ad J. van de Goor and Henk Corporaal}, editor = {Vicki H. Allan}, title = {{DOAS:} an object oriented architecture supporting secure languages}, booktitle = {Proceedings of the 22nd Annual Workshop and Symposium on Microprogramming and Microarchitecture, 1989, Dublin, Ireland, August 14-16, 1989}, pages = {127--134}, publisher = {{ACM/IEEE}}, year = {1989}, url = {https://doi.org/10.1145/75362.75409}, doi = {10.1145/75362.75409}, timestamp = {Mon, 27 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/GoorC89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.