default search action
BibTeX records: Elaheh Bozorgzadeh
@inproceedings{DBLP:conf/date/RazaviTGB22, author = {Seyyed Ahmad Razavi and Hsin{-}Yu Ting and Tootiya Giyahchi and Eli Bozorgzadeh}, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {On Exploiting Patterns For Robust FPGA-based Multi-accelerator Edge Computing Systems}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {116--119}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774767}, doi = {10.23919/DATE54114.2022.9774767}, timestamp = {Wed, 25 May 2022 22:56:19 +0200}, biburl = {https://dblp.org/rec/conf/date/RazaviTGB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/TingGSB20, author = {Hsin{-}Yu Ting and Tootiya Giyahchi and Ardalan Amiri Sani and Eli Bozorgzadeh}, title = {Dynamic Sharing in Multi-accelerators of Neural Networks on an {FPGA} Edge Device}, booktitle = {31st {IEEE} International Conference on Application-specific Systems, Architectures and Processors , {ASAP} 2020, Manchester, United Kingdom, July 6-8, 2020}, pages = {197--204}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ASAP49362.2020.00040}, doi = {10.1109/ASAP49362.2020.00040}, timestamp = {Wed, 05 Aug 2020 14:41:14 +0200}, biburl = {https://dblp.org/rec/conf/asap/TingGSB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RazaviBK19, author = {Seyyed Ahmad Razavi and Eli Bozorgzadeh and Solmaz S. Kia}, editor = {J{\"{u}}rgen Teich and Franco Fummi}, title = {Communication-Computation co-Design of Decentralized Task Chain in {CPS} Applications}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2019, Florence, Italy, March 25-29, 2019}, pages = {1082--1087}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/DATE.2019.8714952}, doi = {10.23919/DATE.2019.8714952}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RazaviBK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/TaheriBBVN19, author = {Sajjad Taheri and Payman Behnam and Eli Bozorgzadeh and Alexander V. Veidenbaum and Alexandru Nicolau}, editor = {Kia Bazargan and Stephen Neuendorffer}, title = {{AFFIX:} Automatic Acceleration Framework for {FPGA} Implementation of OpenVX Vision Algorithms}, booktitle = {Proceedings of the 2019 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, {FPGA} 2019, Seaside, CA, USA, February 24-26, 2019}, pages = {252--261}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3289602.3293907}, doi = {10.1145/3289602.3293907}, timestamp = {Tue, 05 Mar 2019 07:04:43 +0100}, biburl = {https://dblp.org/rec/conf/fpga/TaheriBBVN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RezaeiBK19, author = {Siavash Rezaei and Eli Bozorgzadeh and Kanghee Kim}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Marco Platzner}, title = {UltraShare: FPGA-based Dynamic Accelerator Sharing and Allocation}, booktitle = {2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ReConFig48160.2019.8994771}, doi = {10.1109/RECONFIG48160.2019.8994771}, timestamp = {Thu, 27 Feb 2020 18:51:55 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/RezaeiBK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1910-00197, author = {Siavash Rezaei and Eli Bozorgzadeh and Kanghee Kim}, title = {UltraShare: FPGA-based Dynamic Accelerator Sharing and Allocation}, journal = {CoRR}, volume = {abs/1910.00197}, year = {2019}, url = {http://arxiv.org/abs/1910.00197}, eprinttype = {arXiv}, eprint = {1910.00197}, timestamp = {Fri, 04 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1910-00197.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/RazaviBKK18, author = {Seyyed Ahmad Razavi and Eli Bozorgzadeh and Kanghee Kim and Solmaz S. Kia}, editor = {Martin Novotn{\'{y}} and Nikos Konofaos and Amund Skavhaug}, title = {Resource-Aware Decentralization of a UKF-Based Cooperative Localization for Networked Mobile Robots}, booktitle = {21st Euromicro Conference on Digital System Design, {DSD} 2018, Prague, Czech Republic, August 29-31, 2018}, pages = {296--303}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/DSD.2018.00060}, doi = {10.1109/DSD.2018.00060}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/RazaviBKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RezaeiKB18, author = {Siavash Rezaei and Kanghee Kim and Eli Bozorgzadeh}, title = {Scalable Multi-Queue Data Transfer Scheme for FPGA-Based Multi-Accelerators}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {374--380}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00063}, doi = {10.1109/ICCD.2018.00063}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/RezaeiKB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/TingSB18, author = {Hsin{-}Yu Ting and Ardalan Amiri Sani and Eli Bozorgzadeh}, editor = {David Andrews and Ren{\'{e}} Cumplido and Claudia Feregrino and Dirk Stroobandt}, title = {System Services for Reconfigurable Hardware Acceleration in Mobile Devices}, booktitle = {2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RECONFIG.2018.8641700}, doi = {10.1109/RECONFIG.2018.8641700}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/TingSB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/GhaderiENBB17, author = {Zana Ghaderi and Mohammad Ebrahimi and Zainalabedin Navabi and Eli Bozorgzadeh and Nader Bagherzadeh}, title = {SENSIBle: {A} Highly Scalable SENsor DeSIgn for Path-Based Age Monitoring in FPGAs}, journal = {{IEEE} Trans. Computers}, volume = {66}, number = {5}, pages = {919--926}, year = {2017}, url = {https://doi.org/10.1109/TC.2016.2622688}, doi = {10.1109/TC.2016.2622688}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/GhaderiENBB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmscs/MuckGDB17, author = {Tiago Rog{\'{e}}rio M{\"{u}}ck and Zana Ghaderi and Nikil D. Dutt and Eli Bozorgzadeh}, title = {Exploiting Heterogeneity for Aging-Aware Load Balancing in Mobile Platforms}, journal = {{IEEE} Trans. Multi Scale Comput. Syst.}, volume = {3}, number = {1}, pages = {25--35}, year = {2017}, url = {https://doi.org/10.1109/TMSCS.2016.2627541}, doi = {10.1109/TMSCS.2016.2627541}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmscs/MuckGDB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/GhaderiB16, author = {Zana Ghaderi and Eli Bozorgzadeh}, title = {Aging-aware high-level physical planning for reconfigurable systems}, booktitle = {21st Asia and South Pacific Design Automation Conference, {ASP-DAC} 2016, Macao, Macao, January 25-28, 2016}, pages = {631--636}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASPDAC.2016.7428082}, doi = {10.1109/ASPDAC.2016.7428082}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/GhaderiB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/EbrahimiGBN16, author = {Mohammad Ebrahimi and Zana Ghaderi and Eli Bozorgzadeh and Zain Navabi}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {Path selection and sensor insertion flow for age monitoring in FPGAs}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {792--797}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459414/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/EbrahimiGBN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/DangGPB16, author = {Nga Dang and Zana Ghaderi and Moonju Park and Eli Bozorgzadeh}, title = {Harvesting-aware adaptive energy management in solar-powered embedded systems}, booktitle = {17th International Symposium on Quality Electronic Design, {ISQED} 2016, Santa Clara, CA, USA, March 15-16, 2016}, pages = {331--337}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISQED.2016.7479223}, doi = {10.1109/ISQED.2016.7479223}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/DangGPB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/RezaeiHMBVNP16, author = {Siavash Rezaei and C{\'{e}}sar{-}Alejandro Hern{\'{a}}ndez{-}Calder{\'{o}}n and Saeed Mirzamohammadi and Eli Bozorgzadeh and Alexander V. Veidenbaum and Alex Nicolau and Michael J. Prather}, editor = {Peter M. Athanas and Ren{\'{e}} Cumplido and Claudia Feregrino and Ron Sass}, title = {Data-rate-aware FPGA-based acceleration framework for streaming applications}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReConFig.2016.7857162}, doi = {10.1109/RECONFIG.2016.7857162}, timestamp = {Thu, 30 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/reconfig/RezaeiHMBVNP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cpsna/DangBP15, author = {Nga Dang and Eli Bozorgzadeh and Moonju Park}, title = {Multi-level QoS Support with Variable Window Size in Weakly Hard Real-Time Systems}, booktitle = {2015 {IEEE} 3rd International Conference on Cyber-Physical Systems, Networks, and Applications, {CPSNA} 2015, Kowloon, Hong Kong, China, August 19-21, 2015}, pages = {25--30}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/CPSNA.2015.14}, doi = {10.1109/CPSNA.2015.14}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cpsna/DangBP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/KootiB15, author = {Hessam Kooti and Eli Bozorgzadeh}, editor = {Eli Bozorgzadeh and Jo{\~{a}}o M. P. Cardoso and Rui Abreu and Seda Ogrenci Memik}, title = {Reconfiguration-Aware Task Graph Scheduling}, booktitle = {13th {IEEE} International Conference on Embedded and Ubiquitous Computing, {EUC} 2013, Porto, Portugal, October 21-23, 2015}, pages = {163--167}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/EUC.2015.33}, doi = {10.1109/EUC.2015.33}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/euc/KootiB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DangVBLV15, author = {Nga Dang and Roberto Valentini and Eli Bozorgzadeh and Marco Levorato and Nalini Venkatasubramanian}, editor = {Diana Marculescu and Frank Liu}, title = {A Unified Stochastic Model for Energy Management in Solar-Powered Embedded Systems}, booktitle = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015}, pages = {621--626}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCAD.2015.7372627}, doi = {10.1109/ICCAD.2015.7372627}, timestamp = {Mon, 26 Jun 2023 16:43:56 +0200}, biburl = {https://dblp.org/rec/conf/iccad/DangVBLV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/DangTDVB15, author = {Nga Dang and Hossein Tajik and Nikil D. Dutt and Nalini Venkatasubramanian and Eli Bozorgzadeh}, title = {Orchestrated application quality and energy storage management in solar-powered embedded systems}, booktitle = {Sixteenth International Symposium on Quality Electronic Design, {ISQED} 2015, Santa Clara, CA, USA, March 2-4, 2015}, pages = {227--233}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISQED.2015.7085430}, doi = {10.1109/ISQED.2015.7085430}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/DangTDVB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smartgridcomm/ValentiniDLB15, author = {Roberto Valentini and Nga Dang and Marco Levorato and Eli Bozorgzadeh}, title = {Modeling and control battery aging in energy harvesting systems}, booktitle = {2015 {IEEE} International Conference on Smart Grid Communications, SmartGridComm 2015, Miami, FL, USA, November 2-5, 2015}, pages = {515--520}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SmartGridComm.2015.7436352}, doi = {10.1109/SMARTGRIDCOMM.2015.7436352}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smartgridcomm/ValentiniDLB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/euc/2015, editor = {Eli Bozorgzadeh and Jo{\~{a}}o M. P. Cardoso and Rui Abreu and Seda Ogrenci Memik}, title = {13th {IEEE} International Conference on Embedded and Ubiquitous Computing, {EUC} 2013, Porto, Portugal, October 21-23, 2015}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7363405/proceeding}, isbn = {978-1-4673-8299-1}, timestamp = {Mon, 12 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/euc/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ValentiniDLB15, author = {Roberto Valentini and Nga Dang and Marco Levorato and Eli Bozorgzadeh}, title = {Modeling And Control Battery Aging in Energy Harvesting Systems}, journal = {CoRR}, volume = {abs/1511.03495}, year = {2015}, url = {http://arxiv.org/abs/1511.03495}, eprinttype = {arXiv}, eprint = {1511.03495}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ValentiniDLB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/NakayamaDBDBV14, author = {Kiyoshi Nakayama and Nga Dang and Lubomir F. Bic and Michael B. Dillencourt and Elaheh Bozorgzadeh and Nalini Venkatasubramanian}, title = {Distributed flow optimization control for energy-harvesting wireless sensor networks}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2014, Sydney, Australia, June 10-14, 2014}, pages = {4083--4088}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICC.2014.6883960}, doi = {10.1109/ICC.2014.6883960}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/NakayamaDBDBV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigbed/KootiB13, author = {Hessam Kooti and Eli Bozorgzadeh}, title = {Transition-aware task scheduling and configuration selection in reconfigurable embedded systems}, journal = {{SIGBED} Rev.}, volume = {10}, number = {4}, pages = {37--40}, year = {2013}, url = {https://doi.org/10.1145/2583687.2583696}, doi = {10.1145/2583687.2583696}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigbed/KootiB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/DangRBV13, author = {Nga Dang and Mahnaz Roshanaei and Eli Bozorgzadeh and Nalini Venkatasubramanian}, title = {Adapting data quality with multihop routing for energy harvesting wireless sensor networks}, booktitle = {International Green Computing Conference, {IGCC} 2013, Arlington, VA, USA, June 27-29, 2013, Proceedings}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IGCC.2013.6604523}, doi = {10.1109/IGCC.2013.6604523}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/green/DangRBV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ac/DangBV12, author = {Nga Dang and Elaheh Bozorgzadeh and Nalini Venkatasubramanian}, title = {Energy Harvesting for Sustainable Smart Spaces}, journal = {Adv. Comput.}, volume = {87}, pages = {203--251}, year = {2012}, url = {https://doi.org/10.1016/B978-0-12-396528-8.00006-7}, doi = {10.1016/B978-0-12-396528-8.00006-7}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ac/DangBV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/RahmatianKHB12, author = {Mehryar Rahmatian and Hessam Kooti and Ian G. Harris and Elaheh Bozorgzadeh}, title = {Hardware-Assisted Detection of Malicious Software in Embedded Systems}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {4}, number = {4}, pages = {94--97}, year = {2012}, url = {https://doi.org/10.1109/LES.2012.2218630}, doi = {10.1109/LES.2012.2218630}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esl/RahmatianKHB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/suscom/DangBV12, author = {Nga Dang and Elaheh Bozorgzadeh and Nalini Venkatasubramanian}, title = {QuARES: {A} quality-aware renewable energy-driven sensing framework}, journal = {Sustain. Comput. Informatics Syst.}, volume = {2}, number = {4}, pages = {171--183}, year = {2012}, url = {https://doi.org/10.1016/j.suscom.2012.08.001}, doi = {10.1016/J.SUSCOM.2012.08.001}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/suscom/DangBV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/RahmatianKHB12, author = {Mehryar Rahmatian and Hessam Kooti and Ian G. Harris and Elaheh Bozorgzadeh}, title = {Minimization of Trojan footprint by reducing Delay/Area impact}, booktitle = {2012 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2012, Austin, TX, USA, October 3-5, 2012}, pages = {59--62}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/DFT.2012.6378200}, doi = {10.1109/DFT.2012.6378200}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/RahmatianKHB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RahmatianKHB12, author = {Mehryar Rahmatian and Hessam Kooti and Ian G. Harris and Elaheh Bozorgzadeh}, title = {Adaptable intrusion detection using partial runtime reconfiguration}, booktitle = {30th International {IEEE} Conference on Computer Design, {ICCD} 2012, Montreal, QC, Canada, September 30 - Oct. 3, 2012}, pages = {147--152}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICCD.2012.6378633}, doi = {10.1109/ICCD.2012.6378633}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/RahmatianKHB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/KootiDMB12, author = {Hessam Kooti and Nga Dang and Deepak Mishra and Eli Bozorgzadeh}, title = {Energy Budget Management for Energy Harvesting Embedded Systems}, booktitle = {2012 {IEEE} International Conference on Embedded and Real-Time Computing Systems and Applications, {RTCSA} 2012, Seoul, Korea (South), August 19-22, 2012}, pages = {320--329}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/RTCSA.2012.38}, doi = {10.1109/RTCSA.2012.38}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/KootiDMB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijrc/DasuCBB11, author = {Aravind Dasu and Jo{\~{a}}o M. P. Cardoso and Eli Bozorgzadeh and J{\"{u}}rgen Becker}, title = {Selected Papers from the 17th Reconfigurable Architectures Workshop {(RAW2010)}}, journal = {Int. J. Reconfigurable Comput.}, volume = {2011}, pages = {574972:1--574972:2}, year = {2011}, url = {https://doi.org/10.1155/2011/574972}, doi = {10.1155/2011/574972}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijrc/DasuCBB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/suscom/HomayounGBVK11, author = {Houman Homayoun and Shahin Golshan and Eli Bozorgzadeh and Alexander V. Veidenbaum and Fadi J. Kurdahi}, title = {On leakage power optimization in clock tree networks for ASICs and general-purpose processors}, journal = {Sustain. Comput. Informatics Syst.}, volume = {1}, number = {1}, pages = {75--87}, year = {2011}, url = {https://doi.org/10.1016/j.suscom.2010.10.005}, doi = {10.1016/J.SUSCOM.2010.10.005}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/suscom/HomayounGBVK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/GolshanKB11, author = {Shahin Golshan and Hessam Kooti and Elaheh Bozorgzadeh}, title = {SEU-Aware High-Level Data Path Synthesis and Layout Generation on SRAM-Based FPGAs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {30}, number = {6}, pages = {829--840}, year = {2011}, url = {https://doi.org/10.1109/TCAD.2011.2106851}, doi = {10.1109/TCAD.2011.2106851}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/GolshanKB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KootiMB11, author = {Hessam Kooti and Deepak Mishra and Eli Bozorgzadeh}, title = {Reconfiguration-aware real-time scheduling under QoS constraint}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {141--146}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722174}, doi = {10.1109/ASPDAC.2011.5722174}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KootiMB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/GolshanKHBEK11, author = {Shahin Golshan and Amin Khajeh and Houman Homayoun and Eli Bozorgzadeh and Ahmed M. Eltawil and Fadi J. Kurdahi}, editor = {Robert P. Dick and Jan Madsen}, title = {Reliability-aware placement in SRAM-based {FPGA} for voltage scaling realization in the presence of process variations}, booktitle = {Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2011, part of ESWeek '11 Seventh Embedded Systems Week, Taipei, Taiwan, 9-14 October, 2011}, pages = {257--266}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2039370.2039410}, doi = {10.1145/2039370.2039410}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codes/GolshanKHBEK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/DangBV11, author = {Nga Dang and Elaheh Bozorgzadeh and Nalini Venkatasubramanian}, title = {QuARES: Quality-aware data collection in energy harvesting sensor networks}, booktitle = {2011 International Green Computing Conference and Workshops, {IGCC} 2012, Orlando, FL, USA, July 25-28, 2011}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IGCC.2011.6008548}, doi = {10.1109/IGCC.2011.6008548}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/green/DangBV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/GolshanSB11, author = {Shahin Golshan and Love Singhal and Eli Bozorgzadeh}, title = {Process variation aware system-level load assignment for total energy minimization using stochastic ordering}, booktitle = {Proceedings of the 12th International Symposium on Quality Electronic Design, {ISQED} 2011, Santa Clara, California, USA, 14-16 March 2011}, pages = {566--571}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISQED.2011.5770784}, doi = {10.1109/ISQED.2011.5770784}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/GolshanSB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trets/BanerjeeBND10, author = {Sudarshan Banerjee and Elaheh Bozorgzadeh and Juanjo Noguera and Nikil D. Dutt}, title = {Bandwidth Management in Application Mapping for Dynamically Reconfigurable Architectures}, journal = {{ACM} Trans. Reconfigurable Technol. Syst.}, volume = {3}, number = {3}, pages = {18:1--18:30}, year = {2010}, url = {https://doi.org/10.1145/1839480.1839488}, doi = {10.1145/1839480.1839488}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trets/BanerjeeBND10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KootiBLB10, author = {Hessam Kooti and Elaheh Bozorgzadeh and Shenghui Liao and Lichun Bao}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {Transition-aware real-time task scheduling for reconfigurable embedded systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {232--237}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5457205}, doi = {10.1109/DATE.2010.5457205}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/KootiBLB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KootiB10, author = {Hessam Kooti and Eli Bozorgzadeh}, editor = {Louis Scheffer and Joel R. Phillips and Alan J. Hu}, title = {Unified theory of real-time task scheduling and dynamic voltage/frequency Scaling on MPSoCs}, booktitle = {2010 International Conference on Computer-Aided Design, {ICCAD} 2010, San Jose, CA, USA, November 7-11, 2010}, pages = {139--142}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICCAD.2010.5654119}, doi = {10.1109/ICCAD.2010.5654119}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KootiB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/BeckerBCD10, author = {J{\"{u}}rgen Becker and Eli Bozorgzadeh and Jo{\~{a}}o M. P. Cardoso and Aravind Dasu}, title = {Welcome message}, booktitle = {24th {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2010, Atlanta, Georgia, USA, 19-23 April 2010 - Workshop Proceedings}, pages = {1--2}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IPDPSW.2010.5470681}, doi = {10.1109/IPDPSW.2010.5470681}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/BeckerBCD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/KootiBLB10, author = {Hessam Kooti and Eli Bozorgzadeh and Shenghui Liao and Lichun Bao}, title = {Reconfiguration-aware spectrum sharing for {FPGA} based software defined radio}, booktitle = {24th {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2010, Atlanta, Georgia, USA, 19-23 April 2010 - Workshop Proceedings}, pages = {1--4}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IPDPSW.2010.5470744}, doi = {10.1109/IPDPSW.2010.5470744}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/KootiBLB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/GolshanBSWHV10, author = {Shahin Golshan and Eli Bozorgzadeh and Benjamin Carri{\'{o}}n Sch{\"{a}}fer and Kazutoshi Wakabayashi and Houman Homayoun and Alexander V. Veidenbaum}, editor = {Vojin G. Oklobdzija and Barry Pangle and Naehyuck Chang and Naresh R. Shanbhag and Chris H. Kim}, title = {Exploiting power budgeting in thermal-aware dynamic placement for reconfigurable systems}, booktitle = {Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010, Austin, Texas, USA, August 18-20, 2010}, pages = {49--54}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1840845.1840856}, doi = {10.1145/1840845.1840856}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/GolshanBSWHV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/HomayounGBVK10, author = {Houman Homayoun and Shahin Golshan and Eli Bozorgzadeh and Alexander V. Veidenbaum and Fadi J. Kurdahi}, title = {Post-synthesis sleep transistor insertion for leakage power optimization in clock tree networks}, booktitle = {11th International Symposium on Quality of Electronic Design {(ISQED} 2010), 22-24 March 2010, San Jose, CA, {USA}}, pages = {499--507}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISQED.2010.5450530}, doi = {10.1109/ISQED.2010.5450530}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/HomayounGBVK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/BanerjeeBD09, author = {Sudarshan Banerjee and Elaheh Bozorgzadeh and Nikil D. Dutt}, title = {Exploiting Application Data-Parallelism on Dynamically Reconfigurable Architectures: Placement and Architectural Considerations}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {17}, number = {2}, pages = {234--247}, year = {2009}, url = {https://doi.org/10.1109/TVLSI.2008.2003490}, doi = {10.1109/TVLSI.2008.2003490}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/BanerjeeBD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GolshanB09, author = {Shahin Golshan and Eli Bozorgzadeh}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {SEU-aware resource binding for modular redundancy based designs on FPGAs}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {1124--1129}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090832}, doi = {10.1109/DATE.2009.5090832}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/GolshanB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/OhKCB08, author = {Sejong Oh and Tag Gon Kim and Jeonghun Cho and Elaheh Bozorgzadeh}, title = {Speculative Loop-Pipelining in Binary Translation for Hardware Acceleration}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {27}, number = {3}, pages = {409--422}, year = {2008}, url = {https://doi.org/10.1109/TCAD.2008.915533}, doi = {10.1109/TCAD.2008.915533}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/OhKCB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SinghalOE08, author = {Love Singhal and Sejong Oh and Eli Bozorgzadeh}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Statistical power profile correlation for realistic thermal estimation}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {67--70}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484038}, doi = {10.1109/ASPDAC.2008.4484038}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/SinghalOE08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/SinghalOB08, author = {Love Singhal and Sejong Oh and Eli Bozorgzadeh}, editor = {Catherine H. Gebotys and Grant Martin}, title = {Yield maximization for system-level task assignment and configuration selection of configurable multiprocessors}, booktitle = {Proceedings of the 6th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2008, Atlanta, GA, USA, October 19-24, 2008}, pages = {249--254}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1450135.1450192}, doi = {10.1145/1450135.1450192}, timestamp = {Mon, 26 Nov 2018 12:14:45 +0100}, biburl = {https://dblp.org/rec/conf/codes/SinghalOB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SinghalB08, author = {Love Singhal and Elaheh Bozorgzadeh}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Process variation aware system-level task allocation using stochastic ordering of delay distributions}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {570--574}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681633}, doi = {10.1109/ICCAD.2008.4681633}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SinghalB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GholamipourBB08, author = {Amir Hossein Gholamipour and Elaheh Bozorgzadeh and Lichun Bao}, title = {Seamless sequence of software defined radio designs through hardware reconfigurability of FPGAs}, booktitle = {26th International Conference on Computer Design, {ICCD} 2008, 12-15 October 2008, Lake Tahoe, CA, USA, Proceedings}, pages = {260--265}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCD.2008.4751871}, doi = {10.1109/ICCD.2008.4751871}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GholamipourBB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cdt/SinghalB07, author = {Love Singhal and Elaheh Bozorgzadeh}, title = {Multi-layer floorplanning for reconfigurable designs}, journal = {{IET} Comput. Digit. Tech.}, volume = {1}, number = {4}, pages = {276--294}, year = {2007}, url = {https://doi.org/10.1049/iet-cdt:20070012}, doi = {10.1049/IET-CDT:20070012}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cdt/SinghalB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SinghalBE07, author = {Love Singhal and Elaheh Bozorgzadeh and David Eppstein}, title = {Interconnect Criticality-Driven Delay Relaxation}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {26}, number = {10}, pages = {1803--1817}, year = {2007}, url = {https://doi.org/10.1109/TCAD.2007.896319}, doi = {10.1109/TCAD.2007.896319}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SinghalBE07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GolshanB07, author = {Shahin Golshan and Elaheh Bozorgzadeh}, title = {Single-Event-Upset {(SEU)} Awareness in {FPGA} Routing}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {330--333}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1145/1278480.1278564}, doi = {10.1145/1278480.1278564}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GolshanB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BanerjeeBDN07, author = {Sudarshan Banerjee and Elaheh Bozorgzadeh and Nikil D. Dutt and Juanjo Noguera}, title = {Selective Band width and Resource Management in Scheduling for Dynamically Reconfigurable Architectures}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {771--776}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1145/1278480.1278673}, doi = {10.1145/1278480.1278673}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/BanerjeeBDN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/SinghalB07, author = {Love Singhal and Elaheh Bozorgzadeh}, editor = {Kenneth L. Pocek and Duncan A. Buell}, title = {Heterogeneous Floorplanner for {FPGA}}, booktitle = {{IEEE} Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2007, 23-25 April 2007, Napa, California, {USA}}, pages = {311--312}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/FCCM.2007.31}, doi = {10.1109/FCCM.2007.31}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/SinghalB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/SinghalB07, author = {Love Singhal and Elaheh Bozorgzadeh}, editor = {Koen Bertels and Walid A. Najjar and Arjan J. van Genderen and Stamatis Vassiliadis}, title = {Novel Multi-Layer floorplanning for Heterogeneous FPGAs}, booktitle = {{FPL} 2007, International Conference on Field Programmable Logic and Applications, Amsterdam, The Netherlands, 27-29 August 2007}, pages = {613--616}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/FPL.2007.4380729}, doi = {10.1109/FPL.2007.4380729}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/fpl/SinghalB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GholamipourBB07, author = {Amir Hossein Gholamipour and Elaheh Bozorgzadeh and Sudarshan Banerjee}, title = {Energy-aware co-processor selection for embedded processors on FPGAs}, booktitle = {25th International Conference on Computer Design, {ICCD} 2007, 7-10 October 2007, Lake Tahoe, CA, USA, Proceedings}, pages = {158--163}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICCD.2007.4601895}, doi = {10.1109/ICCD.2007.4601895}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GholamipourBB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/WangSABKB06, author = {Gang Wang and Satish Sivaswamy and Cristinel Ababei and Kia Bazargan and Ryan Kastner and Elaheh Bozorgzadeh}, title = {Statistical Analysis and Design of {HARP} FPGAs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {25}, number = {10}, pages = {2088--2102}, year = {2006}, url = {https://doi.org/10.1109/TCAD.2005.859485}, doi = {10.1109/TCAD.2005.859485}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/WangSABKB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/GhiasiBHJS06, author = {Soheil Ghiasi and Elaheh Bozorgzadeh and Po{-}Kuan Huang and Roozbeh Jafari and Majid Sarrafzadeh}, title = {A Unified Theory of Timing Budget Management}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {25}, number = {11}, pages = {2364--2375}, year = {2006}, url = {https://doi.org/10.1109/TCAD.2006.873901}, doi = {10.1109/TCAD.2006.873901}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/GhiasiBHJS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/PasrichaDBB06, author = {Sudeep Pasricha and Nikil D. Dutt and Elaheh Bozorgzadeh and Mohamed Ben{-}Romdhane}, title = {{FABSYN:} floorplan-aware bus architecture synthesis}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {14}, number = {3}, pages = {241--253}, year = {2006}, url = {https://doi.org/10.1109/TVLSI.2006.871763}, doi = {10.1109/TVLSI.2006.871763}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/PasrichaDBB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/BanerjeeBD06, author = {Sudarshan Banerjee and Elaheh Bozorgzadeh and Nikil D. Dutt}, title = {Integrating Physical Constraints in {HW-SW} Partitioning for Architectures With Partial Dynamic Reconfiguration}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {14}, number = {11}, pages = {1189--1202}, year = {2006}, url = {https://doi.org/10.1109/TVLSI.2006.886411}, doi = {10.1109/TVLSI.2006.886411}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/BanerjeeBD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/GhiasiBNS06, author = {Soheil Ghiasi and Elaheh Bozorgzadeh and Karlene Nguyen and Majid Sarrafzadeh}, title = {Efficient Timing Budget Management for Accuracy Improvement in a Collaborative Object Tracking System}, journal = {J. {VLSI} Signal Process.}, volume = {42}, number = {1}, pages = {43--55}, year = {2006}, url = {https://doi.org/10.1007/s11265-005-4162-0}, doi = {10.1007/S11265-005-4162-0}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/GhiasiBNS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/BanerjeeBD06, author = {Sudarshan Banerjee and Elaheh Bozorgzadeh and Nikil D. Dutt}, editor = {Fumiyasu Hirose}, title = {{PARLGRAN:} parallelism granularity selection for scheduling task chains on dynamically reconfigurable architectures}, booktitle = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation: {ASP-DAC} 2006, Yokohama, Japan, January 24-27, 2006}, pages = {491--496}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ASPDAC.2006.1594733}, doi = {10.1109/ASPDAC.2006.1594733}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/BanerjeeBD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/DaiB06, author = {Simin Dai and Elaheh Bozorgzadeh}, title = {{CAD} Tool for FPGAs with Embedded Hard Cores for Design Space Exploration of Future Architectures}, booktitle = {14th {IEEE} Symposium on Field-Programmable Custom Computing Machines {(FCCM} 2006), 24-26 April 2006, Napa, CA, USA, Proceedings}, pages = {329--330}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/FCCM.2006.30}, doi = {10.1109/FCCM.2006.30}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/DaiB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/SinghalB06, author = {Love Singhal and Elaheh Bozorgzadeh}, title = {Multi-layer Floorplanning on a Sequence of Reconfigurable Designs}, booktitle = {Proceedings of the 2006 International Conference on Field Programmable Logic and Applications (FPL), Madrid, Spain, August 28-30, 2006}, pages = {1--8}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/FPL.2006.311273}, doi = {10.1109/FPL.2006.311273}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/fpl/SinghalB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BanerjeeBND06, author = {Sudarshan Banerjee and Elaheh Bozorgzadeh and Juanjo Noguera and Nikil D. Dutt}, editor = {George A. Constantinides and Wai{-}Kei Mak and Phaophak Sirisuk and Theerayod Wiangtong}, title = {Minimizing peak power for application chains on architectures with partial dynamic reconfiguration}, booktitle = {2006 {IEEE} International Conference on Field Programmable Technology, {FPT} 2006, Bangkok, Thailand, December 13-15, 2006}, pages = {273--276}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/FPT.2006.270326}, doi = {10.1109/FPT.2006.270326}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/BanerjeeBND06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/SinghalB06, author = {Love Singhal and Elaheh Bozorgzadeh}, title = {Physically-aware exploitation of component reuse in a partially reconfigurable architecture}, booktitle = {20th International Parallel and Distributed Processing Symposium {(IPDPS} 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/IPDPS.2006.1639450}, doi = {10.1109/IPDPS.2006.1639450}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/SinghalB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/MemikKBS05, author = {Seda Ogrenci Memik and Ryan Kastner and Elaheh Bozorgzadeh and Majid Sarrafzadeh}, title = {A scheduling algorithm for optimization and early planning in high-level synthesis}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {10}, number = {1}, pages = {33--57}, year = {2005}, url = {https://doi.org/10.1145/1044111.1044115}, doi = {10.1145/1044111.1044115}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/MemikKBS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BanerjeeBD05, author = {Sudarshan Banerjee and Elaheh Bozorgzadeh and Nikil D. Dutt}, editor = {William H. Joyner Jr. and Grant Martin and Andrew B. Kahng}, title = {Physically-aware {HW-SW} partitioning for reconfigurable architectures with partial dynamic reconfiguration}, booktitle = {Proceedings of the 42nd Design Automation Conference, {DAC} 2005, San Diego, CA, USA, June 13-17, 2005}, pages = {335--340}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1065579.1065667}, doi = {10.1145/1065579.1065667}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/BanerjeeBD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PasrichaDBB05, author = {Sudeep Pasricha and Nikil D. Dutt and Elaheh Bozorgzadeh and Mohamed Ben{-}Romdhane}, editor = {William H. Joyner Jr. and Grant Martin and Andrew B. Kahng}, title = {Floorplan-aware automated synthesis of bus-based communication architectures}, booktitle = {Proceedings of the 42nd Design Automation Conference, {DAC} 2005, San Diego, CA, USA, June 13-17, 2005}, pages = {565--570}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1065579.1065727}, doi = {10.1145/1065579.1065727}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/PasrichaDBB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/BanerjeeBD05, author = {Sudarshan Banerjee and Elaheh Bozorgzadeh and Nikil D. Dutt}, title = {Considering Run-Time Reconfiguration Overhead in Task Graph Transformations for Dynamically Reconfigurable Architectures}, booktitle = {13th {IEEE} Symposium on Field-Programmable Custom Computing Machines {(FCCM} 2005), 17-20 April 2005, Napa, CA, USA, Proceedings}, pages = {273--274}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/FCCM.2005.28}, doi = {10.1109/FCCM.2005.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/BanerjeeBD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/SivaswamyWABKB05, author = {Satish Sivaswamy and Gang Wang and Cristinel Ababei and Kia Bazargan and Ryan Kastner and Eli Bozorgzadeh}, editor = {Herman Schmit and Steven J. E. Wilton}, title = {{HARP:} hard-wired routing pattern FPGAs}, booktitle = {Proceedings of the {ACM/SIGDA} 13th International Symposium on Field Programmable Gate Arrays, {FPGA} 2005, Monterey, California, USA, February 20-22, 2005}, pages = {21--29}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1046192.1046196}, doi = {10.1145/1046192.1046196}, timestamp = {Tue, 06 Nov 2018 16:58:22 +0100}, biburl = {https://dblp.org/rec/conf/fpga/SivaswamyWABKB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SinghalB05, author = {Love Singhal and Elaheh Bozorgzadeh}, title = {Fast timing closure by interconnect criticality driven delay relaxation}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {792--797}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560171}, doi = {10.1109/ICCAD.2005.1560171}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SinghalB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/BozorgzadehMYS04, author = {Elaheh Bozorgzadeh and Seda Ogrenci Memik and Xiaojian Yang and Majid Sarrafzadeh}, title = {Routability-Driven Packing: Metrics And Algorithms For Cluster-Based FPGAs}, journal = {J. Circuits Syst. Comput.}, volume = {13}, number = {1}, pages = {77--100}, year = {2004}, url = {https://doi.org/10.1142/S0218126604001222}, doi = {10.1142/S0218126604001222}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/BozorgzadehMYS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BozorgzadehGTS04, author = {Elaheh Bozorgzadeh and Soheil Ghiasi and Atsushi Takahashi and Majid Sarrafzadeh}, title = {Optimal integer delay-budget assignment on directed acyclic graphs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {23}, number = {8}, pages = {1184--1199}, year = {2004}, url = {https://doi.org/10.1109/TCAD.2004.829812}, doi = {10.1109/TCAD.2004.829812}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BozorgzadehGTS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ersa/BozorgzadehGTS04, author = {Elaheh Bozorgzadeh and Soheil Ghiasi and Atsushi Takahashi and Majid Sarrafzadeh}, editor = {Toomas P. Plaks}, title = {Incremental Timing Budget Management in Programmable Systems}, booktitle = {Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, ERSA'04, June 21-24, 2004, Las Vegas, Nevada, {USA}}, pages = {240--246}, publisher = {{CSREA} Press}, year = {2004}, timestamp = {Sun, 12 Apr 2015 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ersa/BozorgzadehGTS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GhiasiBCS04, author = {Soheil Ghiasi and Elaheh Bozorgzadeh and Siddharth Choudhuri and Majid Sarrafzadeh}, title = {A unified theory of timing budget management}, booktitle = {2004 International Conference on Computer-Aided Design, {ICCAD} 2004, San Jose, CA, USA, November 7-11, 2004}, pages = {653--659}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2004}, url = {https://doi.org/10.1109/ICCAD.2004.1382657}, doi = {10.1109/ICCAD.2004.1382657}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GhiasiBCS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BozorgzadehKS03, author = {Elaheh Bozorgzadeh and Ryan Kastner and Majid Sarrafzadeh}, title = {Creating and exploiting flexibility in rectilinear Steiner trees}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {22}, number = {5}, pages = {605--615}, year = {2003}, url = {https://doi.org/10.1109/TCAD.2003.810747}, doi = {10.1109/TCAD.2003.810747}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BozorgzadehKS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BozorgzadehGTS03, author = {Elaheh Bozorgzadeh and Soheil Ghiasi and Atsushi Takahashi and Majid Sarrafzadeh}, title = {Optimal integer delay budgeting on directed acyclic graphs}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {920--925}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776064}, doi = {10.1145/775832.776064}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BozorgzadehGTS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/BozorgzadehS03, author = {Elaheh Bozorgzadeh and Majid Sarrafzadeh}, editor = {Steve Trimberger and Russell Tessier}, title = {Customized regular channel design in FPGAs}, booktitle = {Proceedings of the {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, {FPGA} 2003, Monterey, CA, USA, February 23-25, 2003}, pages = {240}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/611817.611861}, doi = {10.1145/611817.611861}, timestamp = {Tue, 06 Nov 2018 16:58:22 +0100}, biburl = {https://dblp.org/rec/conf/fpga/BozorgzadehS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/GhiasiNBS03, author = {Soheil Ghiasi and Karlene Nguyen and Elaheh Bozorgzadeh and Majid Sarrafzadeh}, editor = {Steve Trimberger and Russell Tessier}, title = {On computation and resource management in an FPGA-based computation environment}, booktitle = {Proceedings of the {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, {FPGA} 2003, Monterey, CA, USA, February 23-25, 2003}, pages = {243}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/611817.611869}, doi = {10.1145/611817.611869}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpga/GhiasiNBS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithmica/ChenBSS02, author = {Chunhong Chen and Elaheh Bozorgzadeh and Ankur Srivastava and Majid Sarrafzadeh}, title = {Budget Management with Applications}, journal = {Algorithmica}, volume = {34}, number = {3}, pages = {261--275}, year = {2002}, url = {https://doi.org/10.1007/s00453-002-0964-7}, doi = {10.1007/S00453-002-0964-7}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/algorithmica/ChenBSS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KastnerBS02, author = {Ryan Kastner and Elaheh Bozorgzadeh and Majid Sarrafzadeh}, title = {Pattern routing: use and theory for increasing predictability andavoiding coupling}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {21}, number = {7}, pages = {777--790}, year = {2002}, url = {https://doi.org/10.1109/TCAD.2002.1013891}, doi = {10.1109/TCAD.2002.1013891}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KastnerBS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/KastnerKOB02, author = {Ryan Kastner and Adam Kaplan and Seda Ogrenci Memik and Elaheh Bozorgzadeh}, title = {Instruction generation for hybrid reconfigurable systems}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {7}, number = {4}, pages = {605--627}, year = {2002}, url = {https://doi.org/10.1145/605440.605446}, doi = {10.1145/605440.605446}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/KastnerKOB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/BozorgzadehOS01, author = {Elaheh Bozorgzadeh and Seda Ogrenci Memik and Majid Sarrafzadeh}, editor = {Satoshi Goto}, title = {RPack: routability-driven packing for cluster-based FPGAs}, booktitle = {Proceedings of {ASP-DAC} 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan}, pages = {629--634}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/370155.370567}, doi = {10.1145/370155.370567}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/BozorgzadehOS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BozorgzadehKS01, author = {Elaheh Bozorgzadeh and Ryan Kastner and Majid Sarrafzadeh}, title = {Creating and Exploiting Flexibility in Steiner Trees}, booktitle = {Proceedings of the 38th Design Automation Conference, {DAC} 2001, Las Vegas, NV, USA, June 18-22, 2001}, pages = {195--198}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/378239.378462}, doi = {10.1145/378239.378462}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BozorgzadehKS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KastnerOBS01, author = {Ryan Kastner and Seda Ogrenci Memik and Elaheh Bozorgzadeh and Majid Sarrafzadeh}, editor = {Rolf Ernst}, title = {Instruction Generation for Hybrid Reconfigurable Systems}, booktitle = {Proceedings of the 2001 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2001, San Jose, CA, USA, November 4-8, 2001}, pages = {127}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICCAD.2001.968608}, doi = {10.1109/ICCAD.2001.968608}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KastnerOBS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MemikBKS01, author = {Seda Ogrenci Memik and Elaheh Bozorgzadeh and Ryan Kastner and Majid Sarrafzadeh}, editor = {Rolf Ernst}, title = {A Super-Scheduler for Embedded Reconfigurable Systems}, booktitle = {Proceedings of the 2001 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2001, San Jose, CA, USA, November 4-8, 2001}, pages = {391}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICCAD.2001.968653}, doi = {10.1109/ICCAD.2001.968653}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MemikBKS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/KastnerBS01, author = {Ryan Kastner and Elaheh Bozorgzadeh and Majid Sarrafzadeh}, editor = {Sachin S. Sapatnekar and Manfred Wiesel}, title = {An exact algorithm for coupling-free routing}, booktitle = {Proceedings of the 2001 International Symposium on Physical Design, {ISPD} 2001, Sonoma County, CA, USA, April 1-4, 2001}, pages = {10--15}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/369691.369711}, doi = {10.1145/369691.369711}, timestamp = {Tue, 06 Nov 2018 11:07:47 +0100}, biburl = {https://dblp.org/rec/conf/ispd/KastnerBS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/SarrafzadehBKS01, author = {Majid Sarrafzadeh and Elaheh Bozorgzadeh and Ryan Kastner and Ankur Srivastava}, editor = {Sachin S. Sapatnekar and Manfred Wiesel}, title = {Design and analysis of physical design algorithms}, booktitle = {Proceedings of the 2001 International Symposium on Physical Design, {ISPD} 2001, Sonoma County, CA, USA, April 1-4, 2001}, pages = {82--89}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/369691.369742}, doi = {10.1145/369691.369742}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispd/SarrafzadehBKS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/YangBS01, author = {Xiaojian Yang and Elaheh Bozorgzadeh and Majid Sarrafzadeh}, title = {Wirelength estimation based on rent exponents of partitioning and placement}, booktitle = {The Third {IEEE/ACM} International Workshop on System-Level Interconnect Prediction {(SLIP} 2001), March 31 - April 1, 2001, DoubleTree Hotel, Rohnert Park, CA, USA, Proceedings}, pages = {25--31}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/368640.368658}, doi = {10.1145/368640.368658}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/slip/YangBS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KastnerBS00, author = {Ryan Kastner and Elaheh Bozorgzadeh and Majid Sarrafzadeh}, editor = {Ellen Sentovich}, title = {Predictable Routing}, booktitle = {Proceedings of the 2000 {IEEE/ACM} International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000}, pages = {110--113}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICCAD.2000.896459}, doi = {10.1109/ICCAD.2000.896459}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KastnerBS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.